Browse > Article

Plasma-Enhanced Atomic-Layer-Deposited SiO2 and SiON Thin Films at Low Temperature (< 300℃) using ICP Type Remote Plasma for 3-Dimensional Electronic Devices  

Kim, Dae Hyun (Department of Advanced Materials Engineering, Hanyang University)
Park, Tea Joo (Department of Advanced Materials Engineering, Hanyang University)
Publication Information
Journal of the Semiconductor & Display Technology / v.18, no.2, 2019 , pp. 98-102 More about this Journal
Abstract
Direct plasma-enhanced atomic layer deposition (PEALD) are widely used for $SiO_2$ and SiON thin film process in current semiconductor industry. However, this exhibits poor step coverage for three-dimensional device structure due directionality of plasma species as well as plasma damage on the substrate. In this study, to overcome this issue, low temperature (< $300^{\circ}C$) $SiO_2$ and SiON thin film processes were studied using inductively coupled plasma (ICP) type remote PEALD with various reactant gases such as $O_2$, $H_2O$, $N_2$ and $NH_3$. It was confirmed that the interfacial properties such as fixed charge density and charge trapping behavior of thin films were considerably improved by hydrogen species in $H_2O$ and $NH_3$ plasma compared to the films grown with $O_2$ and $N_2$ plasma. Furthermore, the leakage current density of the thin films was suppressed for same reason.
Keywords
Plasma-Enhanced Atomic Layer Deposition; $SiO_2$; SiON; Low Temperature Process; DIPAS; ICP;
Citations & Related Records
Times Cited By KSCI : 3  (Citation Analysis)
연도 인용수 순위
1 Meng, X., Y.-C. Byun, H. Kim, J. Lee, A. Lucero, L. Cheng, and J. Kim, "Atomic layer deposition of silicon nitride thin films: a review of recent progress, challenges, and outlooks". Materials. Vol. 9, pp. 1007, 2016.   DOI
2 Weeks, S., G. Nowling, N. Fuchigami, M. Bowes, and K. Littau, "Plasma enhanced atomic layer deposition of silicon nitride using neopentasilane". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. Vol. 34, pp. 01A140, 2016.   DOI
3 Kot, M., F. Naumann, S. Garain, E. Pozarowska, H. Gargouri, K. Henkel, and D. Schmeisser, "Aluminum nitride films prepared by plasma atomic layer deposition using different plasma sources". 2018.
4 Kaariainen, T.O. and D.C. Cameron, "Plasma-Assisted Atomic Layer Deposition of $Al_2O_3$ at Room Temperature". Plasma Processes and Polymers. Vol. 6, pp. S237-S241, 2009.   DOI
5 Profijt, H., S. Potts, M. Van de Sanden, and W. Kessels, "Plasma-assisted atomic layer deposition: basics, opportunities, and challenges". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. Vol. 29, pp. 050801, 2011.   DOI
6 Kim, E.-J. and D.-H. Kim, "Highly Conductive HfN x Films Prepared by Plasma-Assisted Atomic Layer Deposition". Electrochemical and solid-state letters. Vol. 9, pp. C123-C125, 2006.   DOI
7 Ma, H.P., H.L. Lu, J.H. Yang, X.X. Li, T. Wang, W. Huang, G.J. Yuan, F.F. Komarov, and D.W. Zhang, "Measurements of Microstructural, Chemical, Optical, and Electrical Properties of Silicon-Oxygen-Nitrogen Films Prepared by Plasma-Enhanced Atomic Layer Deposition". Nanomaterials. Vol. 8, 2018.
8 Nguyen, H.T.T., N. Balaji, C. Park, N.M. Triet, A.H.T. Le, S. Lee, M. Jeon, D. Oh, V.A. Dao, and J. Yi, "$Al_2O_3$/SiON stack layers for effective surface passivation and antireflection of high efficiency n-type c-Si solar cells". Semiconductor Science and Technology. Vol. 32, 2017.
9 Park, K.W., S. Lee, H. Lee, Y.-H. Cho, Y.C. Park, S.G. Im, and S.-H.K. Park, "High-performance thin H: SiON OLED encapsulation layer deposited by PECVD at low temperature". RSC advances. Vol. 9, pp. 58-64, 2019.   DOI
10 Yin, L., M. Lu, L. Wielunski, W. Song, J. Tan, Y. Lu, and W. Jiang, "Fabrication and characterization of compact silicon oxynitride waveguides on silicon chips". Journal of Optics. Vol. 14, pp. 085501, 2012.   DOI
11 Giustino, F. and A. Pasquarello, "Electronic and dielectric properties of a suboxide interlayer at the silicon-oxide interface in MOS devices". Surface science. Vol. 586, pp. 183-191, 2005.   DOI
12 Nguyen, H.T.T., N. Balaji, C. Park, N.M. Triet, A.H.T. Le, S. Lee, M. Jeon, D. Oh, V.A. Dao, and J. Yi, "$Al_2O_3$/SiON stack layers for effective surface passivation and antireflection of high efficiency n-type c-Si solar cells". Semiconductor Science and Technology. Vol. 32, pp. 025005, 2017.   DOI
13 Choi, J.-H., S.-C. Roh, J.-D. Jung, and H.-I. Seo, "The Silicon Nitride Films according to The Frequency Conditions of Plasma Enhanced Chemical Vapor Deposition". Journal of the Semiconductor & Display Technology. Vol. 13, No. 4, pp.21-26, 2014.
14 Shin, D., H. Cho, S. Park, H. Oh, and D.-H. Ko, "Improvement in Capacitor Characteristics of Titanium Dioxide Film with Surface Plasma Treatment". Journal of the Semiconductor & Display Technology. Vol. 18, No. 1, pp. 32-37, 2019.
15 Li, X. and T. Oh, "Interface Characteristics and Electrical Properties of $SiO_2$ and $V_2O_5$ Thin Films Deposited by the Sputtering". Journal of the Semiconductor & Display Technology. Vol. 17, No. 4, pp.66-69, 2018.
16 Lee, C.-H., K.-C. Park, and K. Kim, "Charge-trapping memory cell of $SiO_2$ ⁄ SiN ⁄ high-k dielectric $Al_2O_3$ with TaN metal gate for suppressing backward-tunneling effect". Applied Physics Letters. Vol. 87, pp. 073510, 2005.   DOI
17 Jang, W., H. Jeon, H. Song, H. Kim, J. Park, H. Kim, and H. Jeon, "The effect of plasma power on the properties of low-temperature silicon nitride deposited by RPALD for a gate spacer". physica status solidi (a). Vol. 212, pp. 2785-2790, 2015.   DOI
18 Chen, T.-S., K.-H. Wu, H. Chung, and C.-H. Kao, "Performance improvement of SONOS memory by bandgap engineering of charge-trapping layer". IEEE Electron Device Letters. Vol. 25, pp. 205-207, 2004.   DOI
19 Lee, C.-H., S.-H. Hur, Y.-C. Shin, J.-H. Choi, D.-G. Park, and K. Kim, "Charge-trapping device structure of $SiO_2$ ⁄ SiN ⁄ high-k dielectric $Al_2O_3$ for high-density flash memory". Applied Physics Letters. Vol. 86, pp. 152908, 2005.   DOI