DOI QR코드

DOI QR Code

Research Trend of High Aspect Ratio Contact Etching used in Semiconductor Memory Device Manufacturing

반도체 메모리 소자 제조에서 High Aspect Ratio Contact 식각 연구 동향

  • Hyun-Woo Tak (School of Advanced Materials Science and Engineering, Sungkyunkwan University) ;
  • Myeong-Ho Park (SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University) ;
  • Jun-Soo Lee (Department of Photovoltaic System Engineering, Sungkyunkwan University) ;
  • Chan-Hyuk Choi (SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University) ;
  • Bong-Sun Kim (School of Advanced Materials Science and Engineering, Sungkyunkwan University) ;
  • Jun-Ki Jang (School of Advanced Materials Science and Engineering, Sungkyunkwan University) ;
  • Eun-Koo Kim (Department of Semiconductor and Display Engineering, Sungkyunkwan University) ;
  • Dong-Woo Kim (School of Advanced Materials Science and Engineering, Sungkyunkwan University) ;
  • Geun-Young Yeom (School of Advanced Materials Science and Engineering, Sungkyunkwan University)
  • 탁현우 (성균관대학교 신소재공학과) ;
  • 박명호 (성균관대학교 나노과학기술학과) ;
  • 이준수 (성균관대학교 태양광시스템공학협동과정) ;
  • 최찬혁 (성균관대학교 나노과학기술학과) ;
  • 김봉선 (성균관대학교 신소재공학과) ;
  • 장준기 (성균관대학교 신소재공학과) ;
  • 김은구 (성균관대학교 반도체디스플레이공학과) ;
  • 김동우 (성균관대학교 신소재공학과) ;
  • 염근영 (성균관대학교 신소재공학과)
  • Received : 2024.04.22
  • Accepted : 2024.05.16
  • Published : 2024.06.30

Abstract

In semiconductor memory device manufacturing, the capability for high aspect ratio contact (HARC) etching determines the density of memory device. Given that there is no standardized definition of "high" in high aspect ratio, it is crucial to continuously monitor recent technology trends to address technological gaps. Not only semiconductor memory manufacturing companies such as Samsung Electronics, SK Hynix, and Micron but also semiconductor manufacturing equipment companies such as Lam Research, Applied Materials, Tokyo Electron, and SEMES release annual reports on HARC etching technology. Although there is a gap in technological focus between semiconductor mass production environments and various research institutes, the results from these institutes significantly contribute by demonstrating fundamental mechanisms with empirical evidence, often in collaboration with industry researchers. This paper reviews recent studies on HARC etching and the study of dielectric etching in various technologies.

Keywords

References

  1. T. Ohiwa, A. Kojima, M. Sekine, I. Sakai, S. Yonemoto, Y. Watanabe, Mechanism of etch stop in high aspect-ratio contact hole etching, Japanese Journal of Applied Physics, 37 (1998) 5060-5063.
  2. J.W. Coburn, H.F. Winters, Plasma etching-a discussion of mechanisms, Journal of Vacuum Science & Technology, 16 (1979) 391-403.
  3. A. Sankaran, M.J. Kushner, Etching of porous and solid SiO2 in Ar/c-C4F8, O2/c-C4F8 and Ar/O2/c-C4F8 plasmas, Journal of Applied Physics, 97 (2005) 023307.
  4. Y.H. Kim, J.S. Kim, D.C. Kim, Y.W. Kim, J.B. Park, D.S. Han, M.Y. Song, Ion and radical characteristics (mass/energy distribution) of a capacitively coupled plasma source using plasma process gases (CxFy), Coatings, 11 (2021) 993.
  5. N. Hiwasa, J. Kataoka, N. Sasao, S. Kuboi, D. Iino, K. Kurihara, H. Fukumizu, Analysis of formation mechanism of deposited film in a high-aspect-ratio hole during dry etching using fluorocarbon gas plasmas, Applied Physics Express, 15 (2022) 106002.
  6. S.N. Hsiao, K. Ishikawa, T. Hayashi, J. Ni, T. Tsutsumi, M. Sekine, M. Hori, Selective etching of SiN against SiO2 and poly-Si films in hydrofluoroethane chemistry with a mixture of CH2FCHF2, O2, and Ar, Applied Surface Science, 541 (2021) 148439.
  7. C. Li, R. Gupta, V. Pallem, G.S. Oehrlein, Impact of hydrofluorocarbon molecular structure parameters on plasma etching of ultra-low-K dielectric, Journal of Vacuum Science & Technology A, 34 (2016) 031306.
  8. D.S. Kim, E.A. Hudson, D. Cooperberg, E. Edelberg, M. Srinivasan, Profile simulation of high aspect ratio contact etch, Thin Solid Films, 515 (2007) 4874-4878.
  9. J.K. Lee, I.Y. Jang, S.H. Lee, C.K. Kim, S.H. Moon, Mechanism of sidewall necking and bowing in the plasma etching of high aspect-ratio contact holes, Journal of the Electrochemical Society, 157 (2010) D142-D146.
  10. M. Wang, M.J. Kushner, High energy electron fluxes in dc-augmented capacitively coupled plasmas. II. Effects on twisting in high aspect ratio etching of dielectrics, Journal of Applied Physics, 107 (2010) 023309.
  11. E.A. Edelberg, A. Perry, N. Benjamin, E.S. Aydil, Compact floating ion energy analyzer for measuring energy distributions of ions bombarding radiofrequency biased electrode surfaces, Review of Scientific Instruments, 70 (1999) 2689-2698.
  12. S. Huang, C. Huard, S.B. Shim, S.K. Nam, I.C. Song, S. Lu, M.J. Kushner, Plasma etching of high aspect ratio features in SiO2 using Ar/C4F8/O2 mixtures: A computational investigation, Journal of Vacuum Science & Technology A, 37 (2019) 031304.
  13. S. Huang, S.B. Shim, S.K. Nam, M.J. Kushner, Pattern dependent profile distortion during plasma etching of high aspect ratio features in SiO2, Journal of Vacuum Science & Technology A, 38 (2020) 023001.
  14. N. Negishi, M. Miyake, M. Izawa, K. Yokogawa, M. Oyama, T. Kanekiyo, Bottom profile degradation mechanism in high aspect ratio feature etching based on pattern transfer observation, Journal of Vacuum Science & Technology B, 35 (2017) 051205.
  15. J.W. Coburn, E. Kay, Positive-ion bombardment of substrates in rf diode glow discharge sputtering, Journal of Applied Physics, 43 (1972) 4965-4971.
  16. A.V. Vasenkov, M.J. Kushner, Modeling of magnetically enhanced capacitively coupled plasma sources: Ar/C4F8/O2 discharges, Journal of Applied Physics, 95 (2004) 834-845.
  17. E. Kawamura, V. Vahedi, M.A. Lieberman, C.K. Birdsall, Ion energy distributions in rf sheaths; review, analysis and simulation, Plasma Sources Science and Technology, 8 (1999) R45-R64.
  18. D. Gahan, B. Dolinaj, M.B. Hopkins, Retarding field analyzer for ion energy distribution measurements at a radiofrequency biased electrode, Review of Scientific Instruments, 79 (2008) 033502.
  19. U.G. Meyer, J.W. Coburn, E. Kay, Ion-enhanced gas-surface chemistry: The influence of the mass of the incident ion, Surface Science, 103 (1981) 177-188.
  20. T. Basu, D.P. Datta, T. Som, Transition from ripples to faceted structures under low-energy argon ion bombardment of silicon: understanding the role of shadowing and sputtering, Nanoscale Research Letters, 8 (2013) 289.
  21. H.C. Kwon, I.H. Won, S.H. Han, D.H. Yu, D.C. Kwon, Y.H. Im, S.Y. Cha, Effect of heavy inert ion strikes on cell density-dependent profile variation and distortion during the etching process for high-aspect ratio features, Physics of Plasmas, 29 (2022) 093510.
  22. M. Miyake, N. Negishi, M. Izawa, K. Yokogawa, M. Oyama, T. Kanekiyo, Effects of mask and necking deformation on bowing and twisting in high-aspect-ratio contact hole etching, Japanese Journal of Applied Physics, 48 (2009) 08HE01.
  23. M. Omura, J. Hashimoto, T. Adachi, Y. Kondo, M. Ishikawa, J. Abe, M. Sekine, Formation mechanism of sidewall striation in high-aspect-ratio hole etching, Japanese Journal of Applied Physics, 58 (2019) SEEB02.
  24. J.K. Kim, S.I. Cho, N.G. Kim, M.S. Jhon, K.S. Min, C.K. Kim, G.Y. Yeom, Study on the etching characteristics of amorphous carbon layer in oxygen plasma with carbonyl sulfide, Journal of Vacuum Science & Technology A, 31 (2013) 021301.
  25. J.K. Kim, S.H. Lee, S.I. Cho, G.Y. Yeom, Study on contact distortion during high aspect ratio contact SiO2 etching, Journal of Vacuum Science & Technology A, 33 (2015) 021303.
  26. M.Y. Yoon, H.J. Yeom, J.H. Kim, J.R. Jeong, H.C. Lee, Plasma etching of the trench pattern with high aspect ratio mask under ion tilting, Applied Surface Science, 595 (2022) 153462.
  27. Y. Miyawaki, Y. Kondo, M. Sekine, K. Ishikawa, T. Hayashi, K. Takeda, M. Hori, Highly selective etching of SiO2 over Si3N4 and Si in capacitively coupled plasma employing C5HF7 gas, Japanese Journal of Applied Physics, 52 (2013) 016201.
  28. J.H. Kim, J.S. Park, C.K. Kim, SiO2 etching in inductively coupled plasmas using heptafluoroisopropyl methyl ether and 1,1,2,2-tetrafluoroethyl 2,2,2-trifluoroethyl ether, Applied Surface Science, 508 (2020) 144787.
  29. Y.J. Kim, S.E. Kim, H.J. Kang, S.H. You, C.K. Kim, H.J. Chung, Characteristics of CF3 and C3F7O isomers for plasma etching of SiO2 and Si3N4 films, ACS Sustainable Chemistry & Engineering, 10 (2022) 10537-10546.
  30. H.W. Tak, H.J. Lee, L. Wen, B.J. Kang, D.I. Sung, J.W. Bae, G.Y. Yeom, Effect of hydrofluorocarbon structure of C3H2F6 isomers on high aspect ratio etching of silicon oxide, Applied Surface Science, 600 (2022) 154050.
  31. H.J. Lee, H.W. Tak, S.B. Kim, S.K. Kim, T.H. Park, J.Y. Kim, G.Y. Yeom, Characteristics of high aspect ratio SiO2 etching using C4H2F6 isomers, Applied Surface Science, 639 (2023) 158190.
  32. J. Ju, J. Park, J. Suh, H. Jeong, Advanced dry etch process with low global warming potential gases toward carbon neutrality, Journal of Korean Institute of Electrical and Electronic Material Engineers, 36 (2023) 99-108.
  33. S. Banna, A. Agarwal, G. Cunge, M. Darnon, E. Pargon, O. Joubert, Pulsed high-density plasmas for advanced dry etching processes, Journal of Vacuum Science & Technology A, 30 (2012) 040801.
  34. M.H. Jeon, A.K. Mishra, S.K. Kang, K.N. Kim, I.J. Kim, S.B. Lee, G.Y. Yeom, Characteristics of SiO2 etching by using pulse-time modulation in 60 MHz/2 MHz dual-frequency capacitive coupled plasma, Current Applied Physics, 13 (2013) 1830-1836.
  35. M.H. Jeon, K.C. Yang, K.N. Kim, G.Y. Yeom, Effect of pulse phase lag in the dual synchronized pulsed capacitive coupled plasma on the etch characteristics of SiO2 by using a C4F8/Ar/O2 gas mixture, Vacuum, 121 (2015) 294-299.
  36. C.H. Cho, K.H. You, S.J. Kim, Y.S. Lee, J.J. Lee, S.J. You, Characterization of SiO2 etching profiles in pulse-modulated capacitively coupled plasmas, Materials, 14 (2021) 5036.
  37. J.K. Lee, I.Y. Jang, S.H. Lee, C.K. Kim, S.H. Moon, Cyclic deposition/etching process to etch a bowing-free SiO2 contact hole, Journal of the Electrochemical Society, 156 (2009) D269-D274.
  38. S. Kumakura, H. Sasagawa, T. Nishizuka, Y. Kihara, M. Honda, Novel technology of high-aspect-ratio etch utilizing coverage-controllable atomic layer deposition, Japanese Journal of Applied Physics, 61 (2022) SI1015.
  39. K.J. Kanarik, S.S. Tan, Y. Pan, J. Marks, Plasma Etching Chemistries of High Aspect Ratio Features in Dielectrics, United States Patent US, 20210005472A1, 7 January 2021.
  40. T. Ohiwa, K. Horioka, T. Arikado, I. Hasegawa, H. Okano, SiO2 tapered etching employing magnetron discharge of fluorocarbon gas, Japanese Journal of Applied Physics, 31 (1992) 405-410.
  41. T. Tillocher, R. Dussart, L.J. Overzet, X. Mellhaoui, P. Lefaucheux, M. Boufnichel, P. Ranson, Two cryogenic processes involving SF6, O2, and SiF4 for silicon deep etching, Journal of the Electrochemical Society, 155 (2008) D187-D191.
  42. S.H. Hsiao, N. Britun, T.T.N. Nguyen, T. Tsutsumi, K. Ishikawa, M. Sekine, M. Hori, Manipulation of etch selectivity of silicon nitride over silicon dioxide to α-carbon by controlling substrate temperature with a CF4/H2 plasma, Vacuum, 210 (2023) 111863.
  43. S.H. Hsiao, M. Sekine, K. Ishikawa, Y. Iijima, Y. Ohya, M. Hori, An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases, Applied Physics Letters, 123 (2023) 212106.
  44. Y. Kiara, M. Tomura, W. Sakamoto, M. Honda, M. Kojima, Beyond 10㎛ depth ultra-high speed etch process with 84% lower carbon footprint for memory channel hole of 3D NAND flash over 400 layers, 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 1-2.