DOI QR코드

DOI QR Code

Cu-SiO2 Hybrid Bonding

Cu-SiO2 하이브리드 본딩

  • Seo, Hankyeol (Department of Mechanical Engineering, Seoul National University of Science and Technology) ;
  • Park, Haesung (Graduate School of Nano-IT Design Convergence, Seoul National University of Science and Technology) ;
  • Kim, Sarah Eunkyung (Department of Mechanical Engineering, Seoul National University of Science and Technology)
  • 서한결 (서울과학기술대학교 나노IT디자인융합대학원) ;
  • 박해성 (서울과학기술대학교 일반대학원 기계공학과) ;
  • 김사라은경 (서울과학기술대학교 나노IT디자인융합대학원)
  • Received : 2020.01.20
  • Accepted : 2020.02.19
  • Published : 2020.03.30

Abstract

As an interconnect scaling faces a technical bottleneck, the device stacking technologies have been developed for miniaturization, low cost and high performance. To manufacture a stacked device structure, a vertical interconnect becomes a key process to enable signal and power integrities. Most bonding materials used in stacked structures are currently solder or Cu pillar with Sn cap, but copper is emerging as the most important bonding material due to fine-pitch patternability and high electrical performance. Copper bonding has advantages such as CMOS compatible process, high electrical and thermal conductivities, and excellent mechanical integrity, but it has major disadvantages of high bonding temperature, quick oxidation, and planarization requirement. There are many copper bonding processes such as dielectric bonding, copper direct bonding, copper-oxide hybrid bonding, copper-polymer hybrid bonding, etc.. As copper bonding evolves, copper-oxide hybrid bonding is considered as the most promising bonding process for vertically stacked device structure. This paper reviews current research trends of copper bonding focusing on the key process of Cu-SiO2 hybrid bonding.

Keywords

References

  1. R. S. List, C. Webb, and S. E. Kim, "3D wafer stacking technology", Proc. Advanced Metallization Conference, San Diego (2002).
  2. J. Q. Lu, J. Jay McMahon, and R. J. Gutmann, "3D Integration Using Adhesive, Metal, and Metal/Adhesive as Wafer Bonding Interfaces", Proc. MRS Symp., 1112, 1112-E02-01 (2008).
  3. C. Ko and K. N. Chen, "Low temperature bonding technology for 3D integration", Microelectron. Reliab., 52, 302 (2012). https://doi.org/10.1016/j.microrel.2011.03.038
  4. A. K. Panigrahy and K. N. Chen, "Low Temperature Cu-Cu Bonding Technology in Three-Dimensional Integration: An Extensive Review", J. Electron. Packaging, 140(1), 010801 (2018). https://doi.org/10.1115/1.4038392
  5. K. N. Chen, C. S. Tan, A. Fan, and R. Reif, "Abnormal Contact Resistance Reduction of Bonded Copper Interconnects in Three-Dimensional Integration During Current Stressing", Appl. Phys. Lett., 86(1), 011903 (2005). https://doi.org/10.1063/1.1844609
  6. H. Park and S. E. Kim, "Nitrogen passivation formation on Cu surface by $Ar-N_2$ plasma for Cu-to-Cu wafer stacking application", Microsyst. Technol., 25, 3847 (2019). https://doi.org/10.1007/s00542-018-4254-y
  7. R. Patti, "Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs", Proc. IEEE, 94(6), 1214 (2006). https://doi.org/10.1109/JPROC.2006.873612
  8. H. S. Park and S. E. Kim, "Two-Step Plasma Treatment on Copper Surface for Low Temperature Cu Thermo-Compression Bonding", IEEE Trnas. Comp. Packag. Manuf. Technol., 10(2), 332 (2019).
  9. M. Park, S. Baek, S. Kim, and S. E. Kim, "Argon plasma treatment on Cu surface for Cu bonding in 3Dintegration and their characteristics", Appl. Surf. Sci., 324, 168 (2015). https://doi.org/10.1016/j.apsusc.2014.10.098
  10. H. Takagi, K. Kikuchi, R. Maeda, T. R. Chung, and T. Suga, "Surface activated bonding of Silicon wafers at room temperature", Appl. Phys. Lett., 68(16), 2222 (1996). https://doi.org/10.1063/1.115865
  11. E. J. Jang, S. Hyun, H. J. Lee, and Y. B. Park, "Effect of Wet Pretreatment on Interfacial Adhesion Energy of Cu-Cu Thermocompression Bond for 3D IC Packages", J. Electron. Mater., 38, 2449 (2009). https://doi.org/10.1007/s11664-009-0942-9
  12. A. Huffman, J. Lannon, M. Lueck, C. Gregory, and D. Temple, "Fabrication and Characterization of Metal-to-Metal Interconnect Structures for 3-D Integration", J. Instrum., 4(3), P03006 (2009). https://doi.org/10.1088/1748-0221/4/03/P03006
  13. K. N. Chen, C. S. Tan, A. Fan, and R. Reif, "Copper Bonded Layers Analysis and Effects of Copper Surface Conditions on Bonding Quality for Three-Dimensional Integration", J. Electron. Mater., 34(12), 1464 (2005). https://doi.org/10.1007/s11664-005-0151-0
  14. C. S. Tan, D. F. Lim, S. G. Singh, S. K. Goulet, and M. Bergkvist, "Cu-Cu Diffusion Bonding Enhancement at Low Temperature by Surface Passivation Using Self-assembled Monolayer of Alkane-thiol", Appl. Phys. Lett., 95(19), 192108 (2009). https://doi.org/10.1063/1.3263154
  15. Y. P. Huang, Y. S. Chien, R. N. Tzeng, M. S. Shy, T. H. Lin, K. H. Chen, C. T. Chiu, J. C. Chiou, C. T. Chuang, W. Hwang, H. M. Tong, and K. N. Chen, "Novel Cu-to-Cu Bonding With Ti Passivation at $180^{\circ}C$ in 3-D Integration", IEEE Electron. Dev. Lett., 34(12), 1551 (2013). https://doi.org/10.1109/LED.2013.2285702
  16. Z. Liu, J. Cai, Q. Wang, L. Liu, and G. Zou, "Modified pulse laser deposition of Ag nanostructure as intermediate for low temperature Cu-Cu bonding", Appl. Surf. Sci., 445, 16 (2018). https://doi.org/10.1016/j.apsusc.2018.03.076
  17. H. Oprins, V. Cherman, T. Webers, A. Salahouelhadj, S. W. Kim, L. Peng, G. Van der Plas, and E. Beyne, "Characterization and Benchmarking of the Low Intertier Thermal Resistance of Three-Dimensional Hybrid Cu/Dielectric Wafer-to-Wafer Bonding", J. Electron. Packag., 139(1), 011008 (2017). https://doi.org/10.1115/1.4035597
  18. I. Jani, D. Lattard, P. Vivet, L. Arnaud, S. Cheramy, E. Beigne, A. Farcy, J. Jourdon, Y. Henrion, E. Deloffre, and H. Bilgen, "Characterization of Fine Pitch Hybrid Bonding Pads using Electrical Misalignment Test Vehicle", Proc. 69th Electronic Components and Technology Conference(ECTC), Las Vegas, 1926, IEEE (2019).
  19. Y. Beilliard, R. Estevez, G. Parry, P. Mc Garry, L. Di Cioccio, and P. Couudrain, "Thermomechanical finite element modeling of $Cu-SiO_2$ direct hybrid bonding with a dishing effect on Cu surfaces", Int. J. Solids Struct., 117, 208 (2017). https://doi.org/10.1016/j.ijsolstr.2016.02.041
  20. G. Gao, L. Mirkarimi, T. Workman, G. Fountain, J. Theil, G. Guevara, P. Liu, B. Lee, P. Mrozek, M. Huynh, C. Rudolph, T. Werner, and A. Hanisch, "Low Temperature Cu Interconnect with Chip to Wafer Hybrid Bonding", Proc. 69th Electronic Components and Technology Conference(ECTC), Las Vegas, 628, IEEE (2019).
  21. P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, and H. Grady, "Low cost of ownership scalable copper Direct Bond Interconnect 3D IC technology for three-dimensional integrated circuit applications", IEEE International Conference on 3D System Integration (3DIC), San Francisco (2009).
  22. G. Gao, T. Workman, L. Mirkarimi, G. Fountain, J. Theil, G. Guevara, C. Uzoh, B. Lee, P. Liu, and P. Mrozek, "Chip to Wafer Hybrid Bonding with Cu Interconnect: High Volume Manufacturing Process Compatibility Study", International Wafer-Level Packaging Conference (IWLPC), San Jose (2019).
  23. R. He, M. Fujino, A. Yamauchi, and T. Suga, "Combined Surface Activated Bonding Technique for Hydrophilic $SiO_2-SiO_2$ and Cu-Cu Bonding", ECS Transactions, 75(9), 117 (2016). https://doi.org/10.1149/07509.0117ecst
  24. T. Wlanis, R. Hammer, W. Ecker, S. Lhostis, C. Sart, S. Gallois-Garreignot, B. Rebhan, and G. A. Maier, "$Cu-SiO_2$ hybrid bonding simulation including surface roughness and viscoplastic material modeling: A critical comparison of 2D and 3D modeling approach", Microelectron. Reliab., 86, 1 (2018). https://doi.org/10.1016/j.microrel.2018.05.005
  25. K. N. Chen, Z. Xu, and J. Q. Lu, "Demonstration and Electrical Performance Investigation of Wafer-Level Cu Oxide Hybrid Bonding Schemes", IEEE Electron Device Lett., 32(8), 1119 (2011). https://doi.org/10.1109/LED.2011.2157657
  26. S. Kim, P. Kang, T. Kim, K. Lee, J. Jang, K. Moon, H. Na, S. Hyun, and K. Hwang, "Cu Microstructure of High Density Cu Hybrid Bonding Interconnection", Proc. 69th Electronic Components and Technology Conference (ECTC), Las Vegas, 636, IEEE (2019).
  27. J. Morrison, R. Fontaine, D. James, and D. Yang, "Samsung Galaxy S7 Edge Teardown", April (2016) from http://www.chipworks.com/about-chipworks/overview/blog/samsung-galaxy-s7-edge-teardown
  28. P. Morrow, M. J. Kobrinsky, S. Ramanathan, C. M. Park, M. Harmes, V. Ramachandrarao, H. Park, G. Kloster, S. List, and S. Kim, "Wafer-Level 3D Interconnects Via Cu Bonding", Proc. Advanced Metallization Conference, San Diego (2004).
  29. P. R. Morrow, C. M. Park, S. Ramanathan, M. J. Kobrinsky, and M. Harmes, "Three-Dimensional Wafer Stacking Via Cu-Cu Bonding Integrated With 65-nm Strained-Si/Low-k CMOS Technology", IEEE Electron Device Lett., 27(5), 335 (2006). https://doi.org/10.1109/LED.2006.873424
  30. Z. J. Hu, X. P. Qu, H. Lin, R. D. Huang, X. C. Ge, M. Li, S. M. Chen, and Y. H. Zhao, "Cu CMP process development and characterization of Cu dishing with $1.8\;{\mu}m$ Cu pad and $3.6\;{\mu}m$ pitch in $Cu/SiO_2$ hybrid bonding", Jap. J. Appl. Phys., 58(SH), SHHC01 (2019). https://doi.org/10.7567/1347-4065/ab17c4
  31. L. Di Cioccio, P. Gueguen, R. Taibi, D. Landru, G. Gaudin, C. Chappaz, F. Rieutord, F. de Crecy, I. Radu, L. L. Chapelon, and L. Clavelier, "An Overview of Patterned Metal/Dielectric Surface Bonding: Mechanism, Alignment and Characterization", J. Electrochem. Soc., 158(6), 81 (2011).
  32. C. M. Liu, H. W. Lin, Y. C. Chu, C. Chen, D. R. Lyu, K. N. Chen, and K. N. Tu, "Low-temperature direct copper-to-copper bonding enabled by creep on highly (111)-oriented Cu surfaces", Scr. Mater., 78-79, 65 (2014). https://doi.org/10.1016/j.scriptamat.2014.01.040
  33. C. M. Liu1, H. W. Lin, Y. S. Huang, Y. C. Chu1, C. Chen, D. R. Lyu, K. N. Chen, and K. N. Tu, "Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu", Sci. Rep., 5, 9734 (2015). https://doi.org/10.1038/srep09734
  34. J. Y. Juang, C. L. Lu, K. J. Chen, C. C. A. Chen, P. N. Hsu, C. Chen, and K. N. Tu, "Copper-to-copper direct bonding on highly (111)-oriented nanotwinned copper in no-vacuum ambient", Sci. Rep., 8, 13910 (2018). https://doi.org/10.1038/s41598-018-32280-x
  35. H. Park and S. E. Kim, "Structural Characteristics of $Ar-N_2$ Plasma Treatment on Cu Surface", J. Microelectron. Packag. Soc., 25(4), 75 (2018). https://doi.org/10.6117/KMEPS.2018.25.4.075
  36. R. Gonzalez-Arrabal R, N. Gordillo, M. Martin-Gonzalez, R. Ruiz-Bustos, and F. Agullo-Lopez, "Thermal stability of copper nitride thin films: The role of nitrogen migration", J. Appl, Phys,, 107(10), 103513, (2010). https://doi.org/10.1063/1.3369450
  37. R. He, M. Fujino, A. Yamauchi, Y. Wang, and T. Suga, "Combined Surface Activated Bonding Technique for Low-Temperature Cu/Dielectric Hybrid Bonding", ECS J. Solid State Sci. Technol., 5(7), 419 (2016). https://doi.org/10.1149/2.0201607jss
  38. J. Kim, K. Kim, H. Lee, H. Kim, Y. Park, and S. Hyun, "Characterization and observation of Cu-Cu Thermo-Compression Bonding using 4-point bending test system", J. Microelectron. Packag. Soc., 18(4), 11 (2011). https://doi.org/10.6117/kmeps.2011.18.4.011