DOI QR코드

DOI QR Code

CMP 패드 두께 프로파일 측정 장치 및 방법에 관한 연구

A Study on CMP Pad Thickness Profile Measuring Device and Method

  • 투고 : 2020.11.15
  • 심사 : 2020.12.11
  • 발행 : 2020.12.31

초록

The chemical mechanical planarization (CMP) is a process of physically and chemically polishing the semiconductor substrate. The planarization quality of a substrate can be evaluated by the within wafer non-uniformity (WIWNU). In order to improve WIWNU, it is important to manage the pad profile. In this study, a device capable of non-contact measurement of the pad thickness profile was developed. From the measured pad profile, the profile of the pad surface and the groove was extracted using the envelope function, and the pad thickness profile was derived using the difference between each profile. Thickness profiles of various CMP pads were measured using the developed PMS and envelope function. In the case of IC series pads, regardless of the pad wear amount, the envelopes closely follow the pad surface and grooves, making it easy to calculate the pad thickness profile. In the case of the H80 series pad, the pad thickness profile was easy to derive because the pad with a small wear amount did not reveal deep pores on the pad surface. However, the pad with a large wear amount make errors in the lower envelope profile, because there are pores deeper than the grooves. By removing these deep pores through filtering, the pad flatness could be clearly confirmed. Through the developed PMS and the pad thickness profile calculation method using the envelope function, the pad life, the amount of wear and the pad flatness can be easily derived and used for various pad analysis.

키워드

참고문헌

  1. K. W. Park, E. Y. Kim, and D. S. Park, "Effects of CMP Retaining Ring Material on the Performance of Wafer Polishing," Journal of the Korean Society of Manufacturing Process Engineers, vol. 19, no. 3, pp. 22-28, (2020). https://doi.org/10.14775/ksmpe.2020.19.03.022
  2. J. H. Lee, S. K. Park, and S. H. Yang, "A Study on the Characteristics of Electro Polishing and Utility Materials for Transit High Purity Gas ," Journal of the Korean Society of Indus try Convergence, vol. 7, No. 3, pp. 259-263, (2004).
  3. J. M. Steigerwald, S. P. Murarka, R. J. Gutmann, and D. J. Duquette, "Chemical Processes in the Chemical Mechanical Polishing of Copper," Materials Chemistry and Physics, vol. 41, no. 3, pp. 217-228, (1995). https://doi.org/10.1016/0254-0584(95)01516-7
  4. K., Zhai, Q. He, L. Li, and Y. Ren, "Study on Chemical Mechanical Polishing of Silicon Wafer with Megasonic Vibration Assisted," Ultrasonics, vol. 80, pp. 9-14, (2017). https://doi.org/10.1016/j.ultras.2017.04.005
  5. W. J. Lee, H. S. Park, and H. C. Shin, "Enhancement of CMP Pad Lifetime for Shallow Trench Isolation Process using Profile Simulation," Current Applied Physics, vol. 9, no. 1, pp. 134-137, (2009).
  6. S. H. Lee, H. J. Kim, D. G. Ahn, and H. D. Jeong, "A Study on Novel Conditioning for CMP," Journal of the Korean Society for Precision Engineering, vol. 16, no. 5, pp. 40-47, (1999).
  7. J. H. Oh, H. S. Lee, H. C. Cho, J. H. Ahn, S. J. Park, and H. D. Jeong, "Stres s Dis tribution on the Wafer according to Pad Profile," In Proceedings of the Korean Society of Precision Engineering Conference, pp. 363-364, (2008).
  8. L. Shan, C. Zhou, and S. Danyluk, "Mechanical Interactions and Their Effects on Chemical Mechanical Polishing," IEEE Transactions on Semiconductor Manufacturing, vol. 14, no. 3, pp. 207-213, (2001). https://doi.org/10.1109/66.939815
  9. O. Chang, H. Kim, K. Park, B. Park, H. Seo, and H. Jeong, "Mathematical Modeling of CMP Conditioning Process," Microelectronic Engineering, vol. 84, no. 4, pp. 577-583, (2007). https://doi.org/10.1016/j.mee.2006.11.011
  10. Z. C. Li, E. A. Baisie, and X. H. Zhang, "Diamond Disc Pad Conditioning in Chemical Mechanical Planarization (CMP): a Surface Element Method to Predict Pad Surface Shape," Precision engineering, vol. 36, no. 2, pp. 356-363, (2012). https://doi.org/10.1016/j.precisioneng.2011.10.006
  11. T. Sun, L. Borucki, Y. Zhuang, and A. Philipossian, "Investigating the Effect of Diamond Size and Conditioning Force on Chemical Mechanical Planarization Pad Topography," Microelectronic Engineering, vol. 87, no. 4, pp. 553-559, (2010). https://doi.org/10.1016/j.mee.2009.08.007
  12. D. Lee, K. Lee, S. Jeong, H. Kim, H. Cho, H. Jeong, "Effect of Diamond Abrasive Shape of CMP Conditioner on Polishing Pad Surface Control," Tribology and Lubricants, vol. 35, no. 6, pp. 330-336, (2019).
  13. Jr, C. R. Johnson, A. S. William, and G. K. Andrew, "Software receiver design: build your own digital communication system in five easy steps," Cambridge University Press, pp. 416-417, (2011).
  14. A. S. Lawing, "Pad Conditioning for Poromeric Materials," International Conference on Planarization/CMP Technology, VDE, (2017).