DOI QR코드

DOI QR Code

Edge Detection System for Noisy Video Sequences Using Partial Reconfiguration

부분 재구성을 이용한 노이즈 영상의 경계선 검출 시스템

  • Yoon, Il-Jung (Department of Electronics and Computer Engineering, Hongik University) ;
  • Joung, Hee-Won (Department of Electronics and Computer Engineering, Hongik University) ;
  • Kim, Seung-Jong (Department of Computer Science & Information System, Hanyang Women's University) ;
  • Min, Byong-Seok (Department of Digital Electronic Communications, Chung Cheong University) ;
  • Lee, Joo-Heung (Department of Electronics and Computer Engineering, Hongik University)
  • 윤일중 (홍익대학교 전자전산공학과) ;
  • 정희원 (홍익대학교 전자전산공학과) ;
  • 김승종 (한양여자대학교 컴퓨터정보과) ;
  • 민병석 (충청대학교 전자컴퓨터학부) ;
  • 이주흥 (홍익대학교 전자전산공학과)
  • Received : 2016.10.05
  • Accepted : 2017.01.06
  • Published : 2017.01.31

Abstract

In this paper, the Zynq system-on-chip (SoC) platform is used to design an adaptive noise reduction and edge-detection system using partial reconfiguration. Filters are implemented in a partially reconfigurable (PR) region to provide high computational complexity in real-time, 1080p video processing. In addition, partial reconfiguration enables better utilization of hardware resources in the embedded system from autonomous replacement of filters in the same PR region. The proposed edge-detection system performs adaptive noise reduction if the noise density level in the incoming video sequences exceeds a given threshold value. Results of implementation show that the proposed system improves the accuracy of edge-detection results (14~20 times in Pratt's Figure of Merit) through self-reconfiguration of filter bitstreams triggered by noise density level in the video sequences. In addition, the ZyCAP controller implemented in this paper enables about 2.1 times faster reconfiguration when compared to a PCAP controller.

본 논문에서는 Zynq SoC 플랫폼을 사용하여 노이즈 영상의 경계선 검출 및 노이즈 감소를 위한 부분 재구성 시스템을 설계한다. 실시간 1080p 영상 시퀀스의 처리를 위한 높은 연산량을 제공하기 위해 재구성이 가능한 Programmable Logic 영역을 사용하고 하드웨어 필터를 구현한다. 또한 하드웨어 필터들은 부분 재구성 가능한 영역을 활용한 자동 재구성 기능을 통해 제한된 환경의 임베디드 시스템에서 더욱 더 효과적으로 하드웨어 자원 활용을 가능하게 한다. 주어진 한계점을 넘는 잡음을 포함한 입력 영상의 경우 적응적 노이즈 제거를 위한 필터링 연산을 하드웨어에 자동 재구성하여 수행함으로써 제안된 시스템은 향상된 경계선 검출 결과를 보여 주고 있다. 제안 하는 시스템을 사용하여 영상 시퀀스의 잡음 밀도에 따라 영상 처리 필터의 bitstream이 스스로 재구성 되었을 때 경계선 검출의 정확도에 대한 결과가 향상된 것을 (14~20배 PFOM) 구현 결과에서 보여 준다. 또한, ZyCAP을 사용하여 구현 한 경우 2.1배 빠르게 부분 재구성함을 확인하였다.

Keywords

References

  1. P. Greisen, M. Runo, P. Guillet, S. Heinzle, A. Smolic, H. Kaeslin and M. Gross, "Evaluation and FPGE Implementation of Sparse Linear Solvers for Video Processing Applications", Circuits and Systems for Video Technology, IEEE, vol. 23, Issue: 8, pp. 1402-1407, Feb. 2013. DOI: https://doi.org/10.1109/TCSVT.2013.2244797
  2. UG1165 (v2015.3), "Zynq-7000 All Programmable SoC: Embedded Design Tutorial", Xilinx, Nov. 2015.
  3. DS190(v1.8), "Zynq-7000 All Programmable SoC Overview", Xilinx, May 2015.
  4. UG585(v1.10), " Zynq-7000 All Programmable SoC Technical Reference Manual", Xilinx, Feb. 2015.
  5. UG909(v2014.4), "Vivado Design Suite User Guide Partial Reconfiguration", Xilinx, Nov. 2014.
  6. E, Stott, P. Sedcole, P. Y. K. Cheung, "Fault tolerant methods for reliability in FPGAs", International Conference on Field Programmable Logic and Applications, pp. 415-420, Sept. 2008. DOI: https://doi.org/10.1109/fpl.2008.4629973
  7. Naveed Imran, Ronald F. DeMara, Jooheung Lee, Jian Huang, "Self-Adapting Resource Escalation for Resilient Signal Processing Architectures", Journal of Signal Processing Systems, vol. 77, no. 3, pp. 257-280, Dec. 2014. DOI: https://doi.org/10.1007/s11265-013-0811-x
  8. C. Insaurralde, "Reconfigurable computer architectures for dynamically adaptable avionics systems", IEEE Aerospace and Electronic Systems Magazine, vol. 30, pp. 46-53, Sept. 2015. DOI: https://doi.org/10.1109/MAES.2015.140077
  9. UG909(v2014.4), "Vivado Design Suite User Guide Partial Reconfiguration", Xilinx, Nov. 2014.
  10. Kizheppatt Vipin and Suhaib A. Fahmy, "ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq", Embedded Systems Letters, IEEE, vol. 6, Issue: 3, pp. 41-44, Sep. 2014. DOI: https://doi.org/10.1109/LES.2014.2314390
  11. XAPP1159(v1.0), Christian Kohn, "Partial Reconfiguration of a Hardware Accelerator on Zynq-7000 All Programmable SoC Devices", Xilinx, Jan. 2013.
  12. Soonjong Jin, Wonki Kim and Jechang Jeong, "Fine Directional De-interlacing Algorithm Using Modified Sobel operation", Consumer Electronics, IEEE, vol.54, Issue: 2, pp. 587-862, May. 2008. DOI: http://dx.doi.org/10.1109/TCE.2008.4560171
  13. Pei-Yin Chen, Chih-Yuan Lien, and Yi-Ming Lin, "A Real-time Image Denoising Chip", Circuits and Systems, ISCAS IEEE International Symposium on, pp. 3390-3393, May. 2008. DOI: http://dx.doi.org/10.1109/ISCAS.2008.4542186
  14. Chenglong Chen, Jiangqun Ni and Jiwu Huang, "Blind Detection of Median Filtering in Digital Images: A Difference Domain based Approach", Image Processing, IEEE, vol. 22, Issue: 12, pp. 4699-4710, Aug. 2013. DOI: https://doi.org/10.1109/TIP.2013.2277814
  15. William K. Pratt, "Digital Image Processing", PIKS Inside, Third Edition, pp. 490-494, Aug. 2000.
  16. XAPP1231 (v1.1), Christian Kohn, "Partial Reconfiguration of a Hardware Accelerator with Vivado Design Suite for Zynq-7000 Ap SoC Processor", Xilinx, Mar. 2015.
  17. UG821 (v12.0), "Zynq7000 All Programmable SoC Software Developers Guide", Xilinx, Sep. 2015.
  18. XAPP890 (v1.0), Fernando Martinez Vallina, Christian Kohn, and Pallav Joshi, "Zynq All Programmable SoC Sobel Filter Implementation Using the Vivado HLS Tool", Xilinx, Sep. 2012.
  19. UG902 (v2015.4), "Vivado Design Suite User Guide : High-Level Synthesis", Xilinx, Nov. 2015.
  20. Kizheppatt Vipin and Suhaib A. Fahmy, "ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq", IEEE Embedded Systems Letters, vol. 6, Issue : 3, Mar. 2014. DOI: http://dx.doi.org/10.1109/LES.2014.2314390
  21. Ikram E. Abdou and William K. Pratt, "Quantitative design and evaluation of enhancement/thresholding edge detectors", Proceedings of the IEEE , vol. 67 , no. 5, pp. 753-763, May 1979. DOI: https://doi.org/10.1109/PROC.1979.11325
  22. J.-A. Jiang, C.-L. Chuang, Y.-L. Lu and C.-S. Fahn, "Mathematical-morphology-based edge detectors for detection of thin edges in low-contrast regions", Image Processing, IET, vol. 1, no.3 pp. 269-277, Sep. 2007. DOI: https://doi.org/10.1049/iet-ipr:20060273
  23. UG907(v2015.4), "Vivado Design Suite User Guide: Power Analysis and Optimization", Xilinx, Nov. 2015.