DOI QR코드

DOI QR Code

Development of Interconnect Process Technology for 5 nm Technology Nodes

5 nm 급 반도체 배선 공정 기술 개발

  • Choi, Eunmi (Department of Nano materials Science & Engineering, School of Integrative Engineering, Chung-Ang University) ;
  • Pyo, Sung Gyu (Department of Nano materials Science & Engineering, School of Integrative Engineering, Chung-Ang University)
  • Received : 2016.12.12
  • Accepted : 2016.12.17
  • Published : 2016.12.31

Abstract

The semiconductor industry has been developed mainly by micronization process due to many advantages of miniaturization of devices. Mass production of semiconductors of 10 nm class has been started recently, and it is expected that the technology generation of 5 nm & 7 nm technology will come. However, excessive linewidth reduction affects physical limits and device reliability. To solve these problems, new process technology development and new concept devices are being studied. In this review, we introduce the next generation technology and introduce the advanced research for the new concept device.

Keywords

References

  1. Y. H. Cho, S. E. Kim and S. Kim, "Wafer Level Bonding Technology for 3D Stacked IC", J. Microelectron. Packag. Soc., 20(1), 7 (2013).
  2. R. K. Cavin, P. Lugli and V. V. Zhirnov, "Science and engineering beyond Moore's law", Proceedings of the IEEE 2012, 100 (Special Centennial Issue), 1720 (2012).
  3. J. A. Hutchby, "A "Moore's Law"-like approach to roadmapping photovoltaic technologies", Renewable and Sustainable Energy Reviews, 29, 883 (2014). https://doi.org/10.1016/j.rser.2013.08.069
  4. K. Chang, K. Acharya, S. Sinha, B. Cline, G. Yeric and S. K. Lim, "In Power benefit study of monolithic 3D IC at the 7nm technology node, Low Power Electronics and Design (ISLPED)", 2015 IEEE/ACM International Symposium on, IEEE, 201 (2015).
  5. M. Xu, H. Zhu, L. Zhao, H. Yin, J. Zhong, J. Li, C. Zhao, D. Chen and T. Ye, "Improved short channel effect control in bulk finFETs with vertical implantation to form self-aligned halo and punch-through stop pocke", IEEE Electron Device Letters, 36(7), 648 (2015). https://doi.org/10.1109/LED.2015.2434825
  6. Y. Xiao, B. Zhang, H. Lou, L. Zhang and X. Lin, "A compact model of subthreshold current with source/drain depletion effect for the short-channel junctionless cylindrical surrounding-gate MOSFETs", IEEE Transactions on Electron Devices, 63 (5), 2176 (2016). https://doi.org/10.1109/TED.2016.2535247
  7. C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier, M. Bost, M. Buehler, V. Chikarmane, T. Ghani and T. Glassman, "In A 22 nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, selfaligned contacts and high density MIM capacitors", VLSI technology (VLSIT), 2012 symposium on, IEEE, 131 (2012).
  8. G. A. Rodriguez-Ruiz, E. A. Gutierrez-Dominguez, A. Sarmiento-Reyes, Z. Stanojevic, H. Kosina, F. J. Guarin and P. J. Garcia-Ramirez, "Experimental and Simulation Results of Magnetic Modulation of Gate Oxide Tunneling Current in Nanoscaled MOS Transistors", IEEE Electron Device Letters, 36(4), 387 (2015). https://doi.org/10.1109/LED.2015.2404138
  9. Y. K. Lin, S. Khandelwal, A. S. Medury, H. Agarwal, H. L. Chang, Y. S. Chauhan and C. Hu, "Modeling of Subsurface Leakage Current in Low Short Channel MOSFET at Accumulation Bias", IEEE Transactions on Electron Devices, 63(5), 1840 (2016). https://doi.org/10.1109/TED.2016.2544818
  10. R. H. Dennard, F. H. Gaensslen, V. L. Rideout, E. Bassous and A. R. LeBlanc, "Design of ion-implanted MOSFET's with very small physical dimensions", IEEE Journal of Solid-State Circuits, 9(5), 256 (1974). https://doi.org/10.1109/JSSC.1974.1050511
  11. M. Ieong, B. Doris, J. Kedzierski, K. Rim and M. Yang, "Silicon device scaling to the sub-10-nm regime", Science, 306(5704), 2057 (2004). https://doi.org/10.1126/science.1100731
  12. S. M. Jung, J. Jang, W. Cho, H. Cho, J. Jeong, Y. Chang, J. Kim, Y. Rah, Y. Son and J. Park, "In Three dimensionally stacked NAND flash memory technology using stacking single crystal Si layers on ILD and TANOS structure for beyond 30 nm node", 2006 International Electron Devices Meeting, IEEE, 1 (2006).
  13. C. Qiu, Z. Zhang, D. Zhong, J. Si, Y. Yang and L. M. Peng, "Carbon nanotube feedback-gate field-effect transistor: suppressing current leakage and increasing on/off ratio", ACS nano, 9(1), 969 (2015). https://doi.org/10.1021/nn506806b
  14. Y. Yang, R. Labie, F. Ling, C. Zhao, A. Radisic, J. Van Olmen, Y. Travaly, B. Verlinden and I. De Wolf, "Processing assessment and adhesion evaluation of copper through-silicon vias (TSVs) for three-dimensional stacked-integrated circuit (3D-SIC) architectures", Microelectronics Reliability, 50(9), 1636 (2010). https://doi.org/10.1016/j.microrel.2010.07.019
  15. S. Y. Chou, P. R. Krauss and P. J. Renstrom, "Imprint lithography with 25-nanometer resolution", Science 272(5258), 85 (1996). https://doi.org/10.1126/science.272.5258.85
  16. J. de Klerk, C. Wagner, R. Droste, L. Levasier, L. Jorritsma, E. van Setten, H. Kattouw, J. Jacobs and T. Heil, "In Performance of a 1.35 NA ArF immersion lithography system for 40-nm applications", Advanced Lithography, International Society for Optics and Photonics, 65201Y, San Jose (2007).
  17. L. Liebmann, A. Chu and P. Gutwin, "In The daunting complexity of scaling to 7nm without EUV: Pushing DTCO to the extreme", SPIE Advanced Lithography, International Society for Optics and Photonics, 942702 (2015).
  18. D. Ingerly, A. Agrawal, R. Ascazubi, A. Blattner, M. Buehler, V. Chikarmane, B. Choudhury, F. Cinnor, C. Ege and C. Ganpule, "In Low-k interconnect stack with metal-insulator-metal capacitors for 22nm high volume manufacturing", 2012 IEEE International Interconnect Technology Conference, IEEE, 1 (2012).
  19. X. Liu, Z. Zhu, Y. Yang and R. Ding, "A Model of Air-Gap Through-Silicon Vias (TSVs) for Microwave Applications", IEEE Microwave and Wireless Components Letters, 25(8), 493 (2015). https://doi.org/10.1109/LMWC.2015.2440659
  20. Y. H. Cheng, H. E. Lin, C. C. Jeng and F. T. Tsai, "Deep trench isolation with air-gap in backside illumination image sensor chips", US Patents 9293490 B2 (2016).
  21. K. Fischer, M. Agostinelli, C. Allen, D. Bahr, M. Bost, P. Charvat, V. Chikarmane, Q. Fu, C. Ganpule and M. Haran, "In Low-k interconnect stack with multi-layer air gap and trimetal-insulator-metal capacitors for 14nm high volume manufacturing", 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM), IEEE, 5 (2015).
  22. K. Fischer, H. Chang, D. Ingerly, I. Jin, H. Kilambi, J. Longun, R. Patel, C. Pelto, C. Petersburg and P. Plekhanov, "In Performance enhancement for 14 nm high volume manufacturing microprocessor and system on a chip processes", Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), 2016 IEEE International, IEEE, 5 (2016).
  23. R. Ramos, A. Fournier, M. Fayolle, J. Dijon, C. Murray and J. McKenna, "In Nanocarbon interconnects combining vertical CNT interconnects and horizontal graphene lines", Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), 2016 IEEE International, IEEE, 48 (2016).
  24. S. Iijima, "Helical microtubules of graphitic carbon", Nature, 354(6348), 56 (1991). https://doi.org/10.1038/354056a0
  25. S. J. Tans, A. R. Verschueren and C. Dekker, "Room-temperature transistor based on a single carbon nanotube", Nature, 393(6680), 49 (1998). https://doi.org/10.1038/29954
  26. R. Martel, T. Schmidt, H. Shea, T. Hertel and P. Avouris, "Single-and multi-wall carbon nanotube field-effect transistors", Appl. Phys. Lett., 73(17), 2447 (1998). https://doi.org/10.1063/1.122477
  27. H. Shimotani, T. Kanbara, Y. Iwasa, K. Tsukagoshi, Y. Aoyagi and H. Kataura, "Gate capacitance in electrochemical transistor of single-walled carbon nanotube", Appl. Phys. Lett., 88(7), 073104 (2006). https://doi.org/10.1063/1.2173626
  28. P. Beecher, P. Servati, A. Rozhin, A. Colli, V. Scardaci, S. Pisana, T. Hasan, A. Flewitt, J. Robertson and G. Hsieh, "Inkjet printing of carbon nanotube thin film transistors", J. Appl. Phys., 102(4), 043710 (2007). https://doi.org/10.1063/1.2770835
  29. J. Guo, S. Datta and M. Lundstrom, "A numerical study of scaling issues for Schottky-barrier carbon nanotube transistors", IEEE transactions on electron devices, 51(2), 172 (2004). https://doi.org/10.1109/TED.2003.821883
  30. S. Heinze, J. Tersoff, R. Martel, V. Derycke, J. Appenzeller and P. Avouris, "Carbon nanotubes as Schottky barrier transistors", Phys. Rev. Lett., 89(10), 106801 (2002). https://doi.org/10.1103/PhysRevLett.89.106801
  31. Q. Shao, G. Liu, D. Teweldebrhan and A. Balandin, "Hightemperature quenching of electrical resistance in graphene interconnects", Appl. Phys. Lett., 92(20), 202108 (2008). https://doi.org/10.1063/1.2927371
  32. X. Li, X. Wang, L. Zhang, S. Lee and H. Dai, "Chemically derived, ultrasmooth graphene nanoribbon semiconductors", Science, 319(5867), 1229 (2008). https://doi.org/10.1126/science.1150878
  33. F. Schwierz, "Graphene transistors", Nat. Nanotechnol., 5(7), 487 (2010). https://doi.org/10.1038/nnano.2010.89
  34. J. B. Oostinga, H. B. Heersche, X. Liu, A. F. Morpurgo and L. M. Vandersypen, "Gate-induced insulating state in bilayer graphene devices", Nat. Mater., 7(2), 151 (2008). https://doi.org/10.1038/nmat2082
  35. V. M. Pereira, A. C. Neto and N. Peres, "Tight-binding approach to uniaxial strain in graphene", Phys. Rev., B80(4), 045401 (2009).
  36. J. Bai, X. Zhong, S. Jiang, Y. Huang and X. Duan, "Graphene nanomes", Nat, Nanotechnol., 5(3), 190 (2010). https://doi.org/10.1038/nnano.2010.8
  37. C. G. Kang, S. K. Lim, S. Lee, S. K. Lee, C. Cho, Y. G. Lee, H. J. Hwang, Y. Kim, H. J. Choi and S. H. Choe, "Effects of multi-layer graphene capping on Cu interconnects", Nanotechnology, 24(11), 115707 (2013). https://doi.org/10.1088/0957-4484/24/11/115707
  38. K. Ghosh, N. Ranjan, Y. Verma and C. Tan, "Graphene-CNT hetero-structure for next generation interconnects", RSC Adv., 6(58), 53054 (2016). https://doi.org/10.1039/C6RA04820J
  39. S. B. Lee, H. M. Lee, M. Kiani, U. M. Jow and M. Ghovanloo, "An inductively powered scalable 32-channel wireless neural recording system-on-a-chip for neuroscience applications", IEEE Trans. Biomed. Circuits. Syst., 4(6), 360 (2010). https://doi.org/10.1109/TBCAS.2010.2078814
  40. P. H. Pham, D. Jelaca, C. Farabet, B. Martini, Y. LeCun and E. Culurciello, "In Neuflow: Dataflow vision processing system-on-a-chip", 2012 IEEE 55th International Midwest Symposium on Circuits and Systems (MWSCAS), IEEE, 1044 (2012).
  41. P. Zarkesh-Ha and J. D. Meindl, "In An integrated architecture for global interconnects in a gigascale system-on-a-chip (GSoC)", Proc. 12th International Conference on Microelectronics, 2000 (ICM 2000), IEEE, 149 (2000).
  42. M. F. Chang, W. Y. Lu, S. J. Shen, M. P. Chen, C. S. Lin, S. S. Sheu, C. H. Hung, Y. S. Yang, Y. J. Kuo and S. N. Hung, "In Supply-variation-resilient nonvolatile 3D IC and 3D memory using low peak-current on-chip charge-pump circuits", Electron Devices and Solid-State Circuits (EDSSC), 2015 IEEE International Conference on, IEEE, 118 (2015).
  43. K. W. Lee, "3-D Hetero-Integration Technologies for Multifunctional Convergence Systems", J. Microelectron. Packag. Soc., 22(2), 11 (2015). https://doi.org/10.6117/kmeps.2015.22.2.011
  44. M. Vinet, P. Batude, C. Fenouillet-Beranger, F. Clermidy, L. Brunet, O. Rozeau, J. Hartmannn, O. Billoint, G. Cibrario and B. Previtali, "In Monolithic 3D integration: A powerful alternative to classical 2D scaling", 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), IEEE, 1 (2014).