DOI QR코드

DOI QR Code

소프트 에러에 대한 캐쉬 메모리의 태그 비트 신뢰성 향상 기법

Reliability Improvement of the Tag Bits of the Cache Memory against the Soft Errors

  • 투고 : 2013.12.03
  • 심사 : 2014.02.07
  • 발행 : 2014.02.28

초록

반도체 공정 기술의 발달로 인하여 프로세서 내에 적재할 수 있는 캐쉬 메모리의 용량은 증가하였지만 높은 트랜지스터 집적율은 프로세서를 소프트 에러에 대해 더 취약하게 만들었으며, 이는 설계 고려사항 중 신뢰성의 비중이 점점 더 높아짐을 의미한다. 이러한 취약성을 극복하기 위하여 캐쉬 메모리의 데이터에 대한 다양한 신뢰성 기법이 제안되었으나, 태그 비트에 대한 연구는 제한적이다. 본 연구는 캐쉬 메모리 중 태그 비트에 대해 Temporal Locality 특성을 만족하지 않는 write-back 동작에 대한 보호율을 분석하고, 이를 극복할 수 있는 방안을 제안한다. 실험을 통해 제안된 기법으로 기존의 write-back에 대한 보호율을 59.0%에서 76.8%까지 성능 저하 없이 증가시킬 수 있다.

Due to the development of manufacturing technology scaling, more transistors can be placed on a cache memories of a processor. However, processors become more vulnerable to the soft errors because of highly integrated transistors, the reliability of cache memory must consider seriously at the design level. Various researches are proposed to overcome the vulnerability of soft error, but researches of tag bit are proposed very rarely. In this paper, we revaluate the reliability improvement technique for tag bit, and analyse the protection rate of write-back operation, which is a typical case of not satisfying temporal locality. We also propose the methodology to improve the protection rate of write-back operation. The experiments of the proposed scheme shows up to 76.8% protection rate without performance degradations.

키워드

참고문헌

  1. O. Ergin et al, "Exploiting narrow values for soft error tolerance," IEEE Computer Architecture Letters, 2006.
  2. J. Baek and H. Kim, Soft error correction controller for FPGA Configuration memory, Journal of the Korea Academia-Industrial cooperation Society, Vol. 13, No. 11, pp. 5465-5470, 2012. https://doi.org/10.5762/KAIS.2012.13.11.5465
  3. J Kim , S Kim , Y Lee, SimTag: exploiting tag bits similarity to improve the reliability of the data caches, Proceedings of the Conference on Design, Automation and Test in Europe, pp. 8-12, Mar, 2010.
  4. W. Zhang, S. Gurumurthi, M. Kandemir, and A. Sivasubramaniam, ICR: In-cache replication for enhancing data cache reliability," in Proc. Int. Conf. Depend. Syst. Netw, pp. 291-300, 2003.
  5. The Standard Performance Evaluation Corporation. Spec CPU2000 suite. http://www.specbench.org/osg/cpu2000/.
  6. D. Burger and T. M. Austin. The SimpleScalar Tool Set, Version 2.0. Computer Architecture News, pp.13-25, June 1997.
  7. ARM Cortex A8 processor, "http://www.arm.com/products/processors/ cortexa/ cortex-a8.php".
  8. Y Kim, Improving the Reliability of the Last Level Cache with Low Energy and Low Area Overhead, The Journal of The Institute of Webcasting, Internet and Telecommunication, Vol 12, No 2, pp.35-41, Apr, 2012. https://doi.org/10.7236/JIWIT.2012.12.2.35