DOI QR코드

DOI QR Code

Gated Clock-based Low-Power Technique based on RTL Synthesis

RTL 수준에서의 합성을 이용한 Gated Clock 기반의 Low-Power 기법

  • 서영호 (광운대학교 교양학부 IT) ;
  • 박성호 (LG 전자 SIC 사업팀 HPM Gr) ;
  • 최현준 (광운대학교 전자재료공학과 Digital Design & Test Lab.) ;
  • 김동욱 (광운대학교 전자재료공학과 Digital Design & Test Lab.)
  • Published : 2008.03.31

Abstract

In this paper we proposed a practical low-power design technique using clock-gating in RTL. An efficient low-power methodology is that a high-level designer analyzes a generic system and designs a controller for clock-gating. Also the desirable flow is to derive clock-gating in normal synthesis process by synthesis tool than to insert directly gate to clock line. If low-power is considered in coding process, clock is gated in coding process. If not considered, after analyzing entire operation. clock is Bated in periods of holding data. After analyzing operation for clock-gating, a controller was designed for it, and then a low-power circuit was generated by synthesis tool. From result, we identified that the consumed power of register decreased from 922mW to 543mW, that is the decrease rate is 42%. In case of synthesizing the test circuit using synthesizer of Power Theater, it decreased from 322mW to 208mW (36.5% decrease).

본 논문에서는 RTL 수준에서의 클록 게이팅을 이용한 실제적인 저전력 설계 기술에 대해서 제안하고자 한다. 상위 수준의 회로 설계자에 의해 시스템의 동작을 분석하여 클록 게이팅을 위한 제어기를 이용하는 것이 가장 효율적인 전력 감소를 가져 온다. 또한 직접적으로 클록 게이팅을 수행하는 것보다는 합성툴이 자연스럽게 게이팅된 클록을 맵핑할 수 있도록 RTL 수준에서 유도하는 것이 바람직하다. RTL 코딩 단계에서부터 저전력이 고려되었다면 처음 코딩단계에서부터 클록을 게이팅 시키고, 만일 고려되지 않았다면 동작을 분석한 후에 대기 동작인 부분에서 클록을 게이팅 한다. 그리고 회로의 동작을 분석한 후에 클록의 게 이팅을 제어하기 위한 제어기를 설계하고 합성 툴에 의해 저전력 회로에 해당하는 netlist를 얻는다. 결과로부터 상위수준의 클록 게이팅에 의해 레지스터의 전력이 922 mW에서 543 mW로 42% 감소한 것을 확인할 수 있다. Power Theater 자체의 synthesizer를 이용하여 netlist로 합성한 후에 전력을 측정했을 경우에는 레지스터의 전력이 322 mW에서 208 mW로 36.5% 감소한 것을 확인할 수 있다.

Keywords

References

  1. D. Gajski and N. Dutt, High-level Synthesis : Introduction to Chip and System Design, Kluwer Academic Publishers, 1992
  2. G. D. MIcheli, Synthesis and Optimization of Digital Circuits, New York, McGraw Hill, Inc., 1994.
  3. A. P. Chandrakasan, S. Sheng, and R. W. Brodersen, "Low-power CMOS digital design," IEEE J. of Solid-State Circuits, pp. 473-484, 1992
  4. A. P. Chandrakasan, M. Potkonjak, R. Mehra, J. Rabaey, and R. W. Brodersen, "Optimizing power using transformation," IEEE Tr. on CAD/ICAS, pp. 12-31, Jan. 1995.
  5. L. Goodby, A. Orailoglue, and P. M. Chau, "Microarchitectureal synthesis of performance-constrained, low-power VLSI designs," in Proc. of Int'l Conf. on Computer Design, pp. 323-326, Oct. 1994.
  6. A. Raghunathan and N. K. Jha, "Behavioral synthesis for power," in Proc. of Int'l Conf. on Computer Design, pp. 319-322, Oct. 1994.
  7. A. Raghunathan and N.K. Jha, "An ILP formulation for low power based on minimizing switched capacitance during datapath allocation," in Proc. of Int'l Symp. on Circuits & Systems, pp. 1069-1073, May. 1995
  8. E. Musoll and J. Cortadella, "Scheduling and resource binding for low power," in Proc. of Int'l Symp. on System Synthesis, pp. 104-109, Apr. 1995
  9. Y. Fang and A. Albicki, " Joint scheduling and allocation for low power," in Proc. of Int'l Symp. on Circuits & Systems, pp. 556-559, May.. 1996
  10. Q. Wu, M. Pedram, and X. Wu, "Clock-gating and its application to low power design of sequential circuits," in Proc. IEEE CICC, pp. 479-482, 1997
  11. M. Pedram, "Power minimization in IC design: principles and applications," ACM Trans. Design Automation, vol. 1, pp. 3-56, Jan. 1996 https://doi.org/10.1145/225871.225877
  12. L. Benini, P. Siegel, and G. D. Micheli, "Saving power by synthesizing gated clocks for sequential circuits," IEEE Des. Test Comput., vol. 11, pp. 32-41, 1994 https://doi.org/10.1109/54.329451
  13. D. Garrett, M. Stan, and A. Dean, "Challenges in clockgating for a low power ASIC methodology," in Proc. ISLPED'99, pp. 176-181, 1999
  14. R. Mehra and J. Rabaey, "Behavioral lovel power estimation and exploration," in Proc. of Int'l Symp. on Low Power Design, pp. 197-202, Apr. 1994
  15. A. Raghunathan and N.K. Jha, "An interactive improvement algorithm for low power data path synthesis," in Proc. of Int'l Conf. on Computer-Aided Design, pp. 597-602, Nov. 1995
  16. R. Mehra, L.M. Guerra, and J. Rabaey, " Low power architectural synthesis and the impact of exploiting locality," Journal of VLSI Signal Processing, 1996
  17. M.B. Srivastava, A.P. Chandrakasan, and R.W. Brodersen, " Predictive system shutdown and other aechitectural techniques for energy efficient programmable computation," IEEE Tr. on VLSI Systems, pp. 42-55, Mar. 1996
  18. A. Abnous and J. M. Rabaey, "Ultra-low-power domain-specific multimedia processors," in Proc. of IEEE VLSI Signal Processing Workshop, Oct. 1996
  19. O. Cadenas and G. Megson, "Power performance with gated clocks of a pipelined Cordic core," in Proc. 5th Int. Conf. on ASIC, pp. 1226-1320, 2003
  20. M. Pedram and J. Rabaey, Power Aware Design Methodologies, Norwell, MA: Kluwer, 2002