Instruction Queue Architecture for Low Power Microprocessors

마이크로프로세서 전력소모 절감을 위한 명령어 큐 구조

  • 최민 (한국과학기술원 전자전산학과) ;
  • 맹승렬 (한국과학기술원 전자전산학과)
  • Published : 2008.11.25

Abstract

Modern microprocessors must deliver high application performance, while the design process should not subordinate power. In terms of performance and power tradeoff, the instructions window is particularly important. This is because a large instruction window leads to achieve high performance. However, naive scaling conventional instruction window can severely affect the complexity and power consumption. This paper explores an architecture level approach to reduce power dissipation. We propose a low power issue logic with an efficient tag translation. The direct lookup table (DTL) issue logic eliminates the associative wake-up of conventional instruction window. The tag translation scheme deals with data dependencies and resource conflicts by using bit-vector based structure. Experimental results show that, for SPEC2000 benchmarks, the proposed design reduces power consumption by 24.45% on average over conventional approach.

현대 마이크로프로세서는 적정수준의 전력소모에 고성능의 애플리케이션성능을 요구한다. 전력소모와 성능향상의 상호보정 측면에서 볼때, 명령어 윈도우(Instruction window)는 특별히 중요한 구성요소이다. 이는 명령어 윈도우의 크기를 확장하면 성능향상을 가능하도록 하지만, 기존의 명령어 구조를 그대로 이용하여 크기만 늘리는 것은 전력소모와 복잡도 측면에서 불리하기 때문이다. 본 연구에서는 전력소모를 감소하기 위해서 직접 검색 테이블(Direct table lookup :DTL)을 사용하여 명령어 윈도우에서 발생하는 연관 검색을 최소화한다. 이를 위해 비트 벡터(bit-vector) 기반의 태그 변환 기법을 제안하여 데이터 종속성 및 자원 충돌 현상을 효과적으로 해결한다. 본 논문에서는 SPEC2000 벤치마크를 활용하여 성능평가를 수행하여 제안된 기법이 기존 방법 대비 24.45%의 전력소모 개선 효과를 나타냄을 확인하였다.

Keywords

References

  1. A. Buyuktosunoglu, D. Albonesi, S. Schuster, D. Brooks, P. Bose and P. Cook. A Circuit Level Implementation of an Adaptive Issue Queue for Power-Aware Microprocessors, In the Proceedings of the GLSVLSI, 2001
  2. R. Canal. Reducing The Complexity of The Issue Logic, In Proceedings of the ACM International Conference of Supercomputing, 2001
  3. T. Ehrhart. Reducing the Scheduling Critical Cycle using Wakeup Prediction, In the Proceedings of the International Symposium on High-Performance Computer Architecture, 2004
  4. D. Ernst. Cyclone: A Low-Complexity Broadcast-Free Dynamic Instruction Scheduler, In Proceedings of the IEEE International Symposium on Computer Architecture, 2003
  5. Sim-Panalyzer, v2.0.2, http://www.eecs.umich.edu/ panalyzer/
  6. SPEC CPU2000, http://www.spec.org/cpu/
  7. D. Ponomarev, G. Kucuk, and K. Ghose, Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources, In the Proceedings of International Symposium on Microarchitecture, Dec. 2001
  8. S. Weiss, J. Smith, Instruction Issue Logic in Pipelined Supercomputers, IEEE Transactions on Computers, vol.39, no.3, 1990
  9. Y. Weinraub. Power-Aware Out-of-Order Issue Logic in High-Performance Microprocessors, Microprocessors and Microsystems, 30(7): 457-467, 2006 https://doi.org/10.1016/j.micpro.2006.05.001