A New Design-for-Testability Circuit for Low Noise Amplifiers

저잡음 증폭기를 위한 새로운 구조의 검사용 설계회로

  • Ryu Jee-Youl (Department of Electrical Engineering, Arizona State University) ;
  • Noh Seok-Ho (Major of Electronic Engineering, College of Electronic & Information Engineering, Andong National University)
  • 류지열 (애리조나주립대학 전기공학과) ;
  • 노석호 (안동대학교 전자공학과)
  • Published : 2006.03.01

Abstract

This paper presents a new Design-for-Testability (DfT) circuit for 4.5-5.5GHz low noise amplifiers (LNAs). The DfT circuit measures gain, noise figure, input impedance, input return loss, and output signal-to-noise ratio for the LNA without external expensive equipment. The DfT circuit is designed using 0.18m SiGe technology. The circuit utilizes input impedance matching and DC output voltage measurements. The technique is simple and inexpensive.

본 논문에서는 4.5-5.5GHz 저잡음 증폭기 (low noise amplifiers, LNAs)를 위한 새로운 구조의 검사용 설계(Design-for-Testability, DfT) 회로를 제안한다. 이러한 검사용 설계회로는 고가의 장비를 사용하지 알고도 저잡음 증폭기의 전압 이득, 잡음 지수, 입력 임피던스, 입력 반사 손실 및 출력 신호대 잡음 전력비를 측정한다. 검사용 설계회로는 $0.18{\mu}m$ SiGe 공정을 이용하여 설계되었으며, 입력 임피던스 정합과 직류 출력 전압 측정을 이용한다. 이러한 회로를 이용한 회로 검사 기술은 검사 방법이 간단하고 검사하는데 드는 비용이 저렴하다.

Keywords

References

  1. J. -Y. Ryu, B. C. Kim, S. -T. Kim, and V. Varadarajan 'Novel Defect Testing of RF Front End Using Input Matching Measurement,' 9th IEEE International Mixed-Signal Testing Workshop, vol. 9, pp. 31-34, June 2003
  2. J. -Y. Ryu, B. C. Kim, and I. Sylla, 'A New BIST Scheme for 5GHz Low Noise Amplifiers,' 9th IEEE European Test Symposium, accepted
  3. D. Lupea, U. Pursche and H. -J. Jentschel, 'RF-BIST: Loopback Spectral Signature Analysis,' IEEE Proceedings of the 2003 Design, Automation and Test in Europe Corference and Exhibition, pp. 478-483, March 2003
  4. J. Dabrowski, 'BiST Model for IC RF-Transceiver Front-End,' 2003 Proceedings of the 18thIEEE International Symposium on DEFECT and FAULT TOLERANCE in VLSI SYSTEMS, pp. 295-302, November 2003
  5. R. Voorakaranam, S. Cherubal and A. Chatterjee, 'A Signature Test Framework for Rapid Production Testing of RF Circuits,' ?Proceedings of the 2002 Design, Automation and Test in Europe Conference and Exhibition, pp. 186-191, March 2003 https://doi.org/10.1109/DATE.2002.998268
  6. B. R. Veillette and G. W. Roberts, 'A Built-in Self-Test Strategy for Wireless Communication Systems,' Proceedings of the 1995 International Test Conference, pp. 930-939, October 1995 https://doi.org/10.1109/TEST.1995.529939
  7. M. Soma, 'Challenges and Approaches in Mixed Signal RF Testing,' IEEE Proceedings, pp. 33-37, 1997 https://doi.org/10.1109/ASIC.1997.616973
  8. W. A. Pleskacz, D. Kasprowicz, T. Oleszczak and W. Kuzmicz, 'CMOS Standard Cells Characterization for Defect Based Testing,' IEEE International Symposium on DFT in VLSI Systems, pp. 384-392, October 2001 https://doi.org/10.1109/DFTVS.2001.966792
  9. A. J. Bishop and A. Ivanov, 'On the Testability of CMOS Feedback Amplifiers,' IEEE Proceedings, pp. 65-73, 1994 https://doi.org/10.1109/DFTVS.1994.630015
  10. G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design 2nd Edition: Prentice Hall, New Jersey, 1997, pp. 212-293
  11. B. Razavi, RF Microelectronics: Prentice Hall, New Jersey, 1998, pp. 11-53
  12. R. G. Meyer, 'Low-Power Monol.ithic RF Peak Detector Analysis,' IEEE J. of Solid-State Circuits, vol. 30, no. 1, pp. 6567, January 1995 https://doi.org/10.1109/4.350192