DOI QR코드

DOI QR Code

A Cache Managing Strategy for Fast Media Data Access

미디어 데이터의 빠른 참조를 위한 캐시 운영 전략

  • 문현주 (나사렛대학교 정보과학부) ;
  • 김석일 (충북대학교 전기전자컴퓨터공학부)
  • Published : 2004.02.01

Abstract

Multimedia data processing in streaming pattern contains high spatial locality and low temporal locality. This paper has proposed a dynamic data prefetching scheme that fully exploits the regularity between memory addresses referred consecutively. Compared to the existing data Prefetching scheme, the Proposed scheme can reduce data Prefetching error when an application divides an way into smaller blocks and processes them block by block. Experimental results on various media benchmark programs show the proposed scheme predicts memory addresses more accurately and results in better performance than existing prefetching schemes.

스트리밍 형태로 처리되는 멀티미디어 응용 데이터는 공간적 지역성은 큰 대신 시간적 지역성이 낮은 특징이 있다. 이 논문에서는 멀티미디어 응용 데이터에 내재된 메모리 참조의 규칙성을 활용하는 동적 선인출 기법을 제안하였다. 제안된 기법은 배열을 작은 블록으로 나누어 블록별로 계산을 수행하는 응용 프로그램의 경우에 기존의 방법과 비교하여 선인출 에러를 크게 줄일 수 있다. 여러 가지 미디어 벤치마크에 대한 실험 결과, 제안된 기법이 기존의 선형 선인출 기법에 비하여 예측의 정확도가 높고 성능이 우수함을 확인하였다.

Keywords

References

  1. J. Fritts, Multi-Level Memory Prefetching for Media and Streaming Processing, Proceedings of International Conference on Multimedia and Expo, 2002 https://doi.org/10.1109/ICME.2002.1035522
  2. J. L. Baer and W. H. Wang, 'Architectural Choices for Multi-level Cache Hierachies, Proceedings of 16th International Conference on Parallel Processingierarchies,' pp.258-261, 1987
  3. K. Gharachorloo, A. Gupta and J. Hennessy, 'Performance Evaluation of Memory Consistency Models for Shared-Memory Multiprocessors,' Proceedings of 4th International Corference on Architectural Support for Programming Languages and Operating Systems, pp.245-257, 1991 https://doi.org/10.1145/106972.106997
  4. J. R. Goodman, Cache Consistency and Sequential Consistency, Technical Report TR-1006, University of Wisconsin-Madison, February, 1991
  5. F. Dahlgren, M. Dubois and P. Stenstrom, 'Fixed and Adaptive Sequential Prefetching in Shared-memory Multiprocessors,' Proceedings of 1993 International Conference on Parallel Processing, pp.156-63, August, 1993 https://doi.org/10.1109/ICPP.1993.92
  6. N. P. Jouppi, 'Improving Direct-mapped Cache Performance by the Addition of a Small Fully associative Cache and Prefetch Buffers,' Proceedings of the 17th Annual International Symposium on Computer Architecture, pp. 364-373, May, 1990 https://doi.org/10.1109/ISCA.1990.134547
  7. C. H. Chi and K. K. Fang, 'Compiler Driven Data Cache Prefetching for High Performance Computers,' Proceedings of Regional 10th Annual International Conference, Vol.2, No.9, pp.274-278. August, 1994 https://doi.org/10.1109/TENCON.1994.369294
  8. M. H. Lipasti et al., 'SPAID: Software Prefetching in Pointer and Call-Intensive Environments,' Proceedings of 28th International Symposium on Microarchitecture, pp. 231-236, 1995
  9. C. K. Luk and T. C. Mowry, 'Compiler-Based Prefetching for Recursive Data Structures,' Proceedings of 9th International Conference on Architectural Support for Programming Languages and Operating Systems, ACM Press, pp.222-233, 1996 https://doi.org/10.1145/237090.237190
  10. T. Mowry and A. Gupta, 'Tolerating Latency through Software-controlled Prefetching in Shared-memory Multiprocessors,' Journal of Parallel and Distributed Computing, Vol.12, No.2, pp.87-106, June, 1991 https://doi.org/10.1016/0743-7315(91)90014-Z
  11. T. C. Mowry, S. Lam and A. Gupta, 'Design and Evaluation of a Compiler Algorithm for Prefetching,' Proceeding of the 5th International Conference on Architectural Support for Programming Languages and Operating Systems, ACM Press, pp.62-73, 1992 https://doi.org/10.1145/143365.143488
  12. P. Ranganathan, V. S. Pai , H. Abdel-Shafi, S. V. Adve, 'The Interaction of Software Prefetching with ILP Processors in Shared-Memory Systems,' ACM SIGARCH Computer Architecture News, Vol.25 No.2, pp.144 - 156, May, 1997 https://doi.org/10.1145/384286.264158
  13. D. F. Zucker, M. J Flynn and R. B. Lee, 'A Comparison of Hardware Prefetching Techniques for Multimedia Benchmarks,' Proceedings of International Conference on Multimedia Computing and Systems, pp.236-244, June, 1996
  14. T. F. Chen and J. L. Baer, 'Effective Hardware-Based Data Prefetching for High Performance Processors,' IEEE Transactions on Computers, Vol.44, No.5, pp.609-623, May, 1995 https://doi.org/10.1109/12.381947
  15. J. Fritts, W. Wolf and B. Liu, 'Understanding Multimedia Application Characteristics for Designing Programmable Media Processors,' Proceedings of SPIE, Vol.3655, pp.2-13, January, 1991 https://doi.org/10.1117/12.334763
  16. S. P. VanderWiel and D. J Lilja, 'A Compiler-Assisted Data Prefetch Controller,' Proceedings of International Conference on Computer Design, pp.372-377, October, 1999 https://doi.org/10.1109/ICCD.1999.808569
  17. C. K. Luk, Optimizing the Cache Performance of Non: Numeric Applications, Ph. D. Thesis, University of Toronto, 2000
  18. M. E. Wolf and M. S. Lam, 'A Data Locality Opitmizing Algorithm,' Proceedins of SIGPLAN'91 Conference on Programming Language Design and Implementation, pp, 30-44, June, 1991 https://doi.org/10.1145/113445.113449
  19. S. Carr, K. S. McKinley and C. W. Tseng, 'Compiler Optimization for Improving Data Locality,' Proceedings of the 6th International Conference on Architectural Support for Programming Languages and Operating Systems, pp.252-262, October, 1994
  20. S. Sohoni, Z. Xu, R. Min and Y. Hu, 'A Study of Memory System Performance of Multimedia Applications,' ACM SIGMETRICS, June, 2001 https://doi.org/10.1145/378420.378784
  21. A. Smith, Sequential Program Prefetching in Memory Hierachies, IEEE Computer, 11(2), pp.7-21, 1997 https://doi.org/10.1109/C-M.1978.218016
  22. T. F. Chen and J. L. Baer, 'Effective Hardware-Based Data Prefetching for High Performance Processors,' IEEE Transactions on Computers, 44(5), pp.609-623, May, 1995 https://doi.org/10.1109/12.381947
  23. N. P. Jouppi, Improving Direct-mapped Cache Performance by the Addition of a Small Fully associative Cache and Prefetch Buffers, Proceedings of the 17th Annual International Symposium on Computer Atchitecture, 364-373, May, 1990 https://doi.org/10.1109/ISCA.1990.134547
  24. A. Srivastava and A. Eustace, 'ATOM: A System for Building Customized Program Analysis Tools', Proceedings of the ACM SIGPLAN'94, pp.196-205, 1994 https://doi.org/10.1145/178243.178260
  25. M. D. Hill, Dinero III Cache Simulator. Technical Report, Computer Sciences Department, University of Wisconsin, Madison
  26. C. Lee, M. Potkonjak and W. H. 'Mangione-Smith, MecliaBench : A Tool for Evaluating and Synthesizing Multimedia Communications Systems,' Proceedings of the 30th Annual international Symposium on Microarchitecture, December, 1997 https://doi.org/10.1109/MICRO.1997.645830