A design of 32-bit RISC core for PDA

PDA를 위한 32비트 RISC 코어의 설계

  • Published : 1997.10.01

Abstract

This paper describes RISC core that has been designed for embedded and protable applications such as PDA or PCS. This RISC processor offers low power consumption and fast context switching. Processor performance is improved by using conditional instruction execution, block data transfer instruction, and multiplication instruction. This architecture is based on RISC principles. The processor adopts 3-stage instruction execution pipeline and has achieved single cycle execution using a 2-phase 40MHz clock. This results in a high instruction throughput and real-time interrupt response. This chip is implemented with $0.6{\mu}m$ triple metal CMOS technology and consists of about 88K transistors. The estimated power dissipation is 179mW.

본 논문에서는 PDA나 PCS와 같은 내장형 응용을 위한 RISC 코어를 설계하였다. 이 RISC 프로세서는 내장형 응용의 중요한 특성인 빠른 인터럽트 핸들링, 빠른 컨텍스트 스위칭과 저전력 소모를 지원한다. 또한 조건부로 수행 가능한 명령어 군과 블럭 전송 명령 그리고 곱셈 명령을 이용하여 프로세서의 성능을 향상시켰다. 3단 파이프라인을 이용하였으며 2-phase 클럭을 사용한 단일 사이클 명령어 수행이 가능하다. 이 프로세서는 $5.0{\times}5.0mm^2$의 면적에 약 88,000개의 트랜지스터가 집적되었으며 $0.6{\mu}\textrm{m}$ 삼중 금속 단일 폴리 공정을 이용하여 레이아웃 되었다. 최대 동작 주파수는 40MHz이며 예상 전력 소비는 179mW이다.

Keywords

References

  1. Microcontrollers : Architecture, Implementation, and programming Kenneth Hinz;Daniel Tabak
  2. IEEE MICRO Processor Architecture Considerations for Embedded Controller Applications Ron Cates
  3. Computer Architecture : A Quantitative Approach John L. Hennessy;David A. Patternson
  4. Computer Organization & Design : The Hardware/Software Interface David A. Patternson;John L. Hennessy
  5. Advanced Computer Architecture:Parallelism, Scalability, Programmability Kai Hwang
  6. ACM Generating Instruction Sets and Microarchitecture from Applications Ing-Jer Huang;Alvin M. Despain
  7. International Conference on VLSI and CAD '91 VLSI Design of High Performance RISC Microprocessor M. K. Lee;B. Y. Choi;S. H. Lee;S. I. Son
  8. Proceedings of ICEIC '91 VLSI Design of High Performance RISC M. K. Lee;B. Y. Choi;S. I. Son
  9. IEEE J. Solid State Circuits v.22 MIPS-X:A 20 MIPS Peak, 32 Bit Microprocessor with On chip Cache Mark Horowitz;Pawl Chow
  10. Introduction to VLSI Systems Carver Mead;Lynn Conway
  11. Computer Architecture News A Reduced Register File for RISC Architectures Miquel Huquet;Tomas Lang
  12. ACM The Effect on RISC Performance of Register Set Size and Structure Versus Code Generation Strategy David G. Bradlee;Susan J. Eggers;Robert R. Henny
  13. Proceedings of ISCAS'93 v.3 Data-Stationary Controller for 32-bit Application-Specific RISC Moon Key Lee;Byeong Yoon Choi;Kwang Youb Lee;Seung Ho Lee
  14. IEEE micro Events and Interrupts in Tightly Coupled Microprocessors Hubert Kirrmann
  15. $13^{th}$ Symposium on Computer Architecture Implementing of Precise Interrupt in Pipeline Processor James E. Smith;Andrew R. Pleszkum