• Title/Summary/Keyword: tungsten oxide

Search Result 204, Processing Time 0.033 seconds

Effects of $WSi_x$, thickness and F concentration on gate oxide characteristics in tungsten polycide gate structure (Tungsten polycide gate 구조에서 $WSi_x$ 두께와 fluorine 농도가 gate oxide 특성에 미치는 영향)

  • 김종철
    • Journal of the Korean Vacuum Society
    • /
    • v.5 no.4
    • /
    • pp.327-332
    • /
    • 1996
  • In this study, the effects of $WSi_x$, thickness and fluorine concentration in tungsten polycide gate structure on gate oxide were investigated. As $WSi_x$, thickness increases, gate oxide thickness increases with fluorine incorporation in gate oxide, and time-to-breakdown($T_{BD,50%}$) of oxide decreases. The stress change with $WSi_x$ thickness was also examined. But it is understood that the dominant factor to degrade gate oxide properties is not the stress but the fluorine, incorporated during $WSi_x$ deposition, diffused into $WSiO_2$ after heat treatment. In order to understand the effect of fluorine diffusion into oxidem fluorine ion implanted gates were compared. The thickness variation and $T_{BD,50%}$ of gate oxide is saturated over 600 $\AA$ thickness of $WSi_x$. The TEM and SIMS studies show the microstructure less than 600 $\AA$ thickness is dense and flat in surface. However, over 600$\AA$, the microstructure of $WSi_x$ is divided into two parts: upper porous phase with rugged surface and lower dense phase with smmoth interface. And this upper phase is transformed into oxygen rich crystalline phase after annealing, and the fluorine is captured in this layer. Therefore, the fluorine diffusion into the gate oxide is saturated.

  • PDF

Fabrication and Ammonia Gas Sensing Properties of Chemiresistor Sensor Based on Porous Tungsten Oxide Wire-like Nanostructure

  • Vuong, Nguyen Minh;Kim, Do-Jin;Hieu, Hoang Nhat
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.05a
    • /
    • pp.25.2-25.2
    • /
    • 2011
  • The tungsten oxide wire-like nanostructure is fabricated by deposition and thermal oxidation of tungsten metal on porous single wall carbon nanotubes (SWNTs). The morphology and crystalline quality of materials are investigated by SEM, TEM, XRD and Raman analysis. The results prove that $WO_3$ wire-like nanostructure fabricated on SWNTs show highly porous structures. Exposure of the sensors to NH3 gas in the temperature range of 150~300$^{\circ}C$ resulted in the highest sensitivity at $250^{\circ}C$ with quite rapid response and recovery time. Response time as a function of test concentrations and NH3 gas sensing mechanism is reported and discussed.

  • PDF

Durability Improvement of Electrochromic Tungsten Oxides Films

  • Yang, J.Y.;Kim, J.W.;Kang, G.H.;K.D.Ko;Lee, G.D.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 1999.07a
    • /
    • pp.157-157
    • /
    • 1999
  • Electrochromic tungsten oxide films were prepared by the electron beam deposition, and the dependence of the electrochemical stability and the optical properties on the titanium concentration, and on the annealing temperature, that was investigated. coloring and bleaching experiments were repeated by cyclic voltammetry in a propylene carbonate solution of LiClO4. Spectrometry was used to assess the stability of the transmittance in the degraded films. Tungsten oxide films with titanium contents of about 10~15 mol% were found to be most stable, undergoing the least degradation during the repeated for coloring and bleaching cycles. The reason for this small amount of degradation was the reduction of lithium ion trapping sites in the films, which results in an increased durability. Tungsten oxide films with titanium contents of about 20 mol% were annealed at 20$0^{\circ}C$ for 1 hour, and this results showed that durability of films were increased.

  • PDF

Electrochemical Behavior of Vanadium Trungsten Oxide Thin Films Deposited by Sputtering (스퍼터링으로 증착한 바나듐 텅스텐 산화물 박막의 전기화학적 거동)

  • 박영신;이병일;주승기
    • Journal of the Korean institute of surface engineering
    • /
    • v.30 no.2
    • /
    • pp.121-127
    • /
    • 1997
  • Vanadium tungsten oxide thin films were formed by RF magnetron sputtering and the effects of tungsten addition on the crystallinity and on the electrochemical behavior were investigated. X-ray analysis revealed that amorphized films could be obtained by tungase addition. In order to investigate the electrochemical behavior of the vanadium tungsten oxide films, electrochemical insertion and extraction of lithium were out in 1m $LiCIO_4$-PC-DME electrolyte using litium metal as a counter electrode. When the tungsten was added to the $V_2O_5$ films, cycling reversibility was considerably improved. Electrochemical test showed the cell capacity of about $70\mu\;Ah/\textrm{cm}^2-\mu\textrm{m}$ when the amount of additive tungseten reached 30 atomic percent. No appreciable degradation of the cell capacity could be observed after hundred cycles of insertion and extration od Li.

  • PDF

Fabrication and Characterization of Hexagonal Tungsten Oxide Nanopowders for High Performance Gas Sensing Application (육방정계 텅스텐옥사이드 나노분말의 합성과 고성능 가스센서응용을 위한 성능 평가)

  • Park, Jinsoo
    • Journal of Powder Materials
    • /
    • v.26 no.1
    • /
    • pp.28-33
    • /
    • 2019
  • The gas sensor is essential to monitoring dangerous gases in our environment. Metal oxide (MO) gas sensors are primarily utilized for flammable, toxic and organic gases and $O_3$ because of their high sensitivity, high response and high stability. Tungsten oxides ($WO_3$) have versatile applications, particularly for gas sensor applications because of the wide bandgap and stability of $WO_3$. Nanosize $WO_3$ are synthesized using the hydrothermal method. As-prepared $WO_3$ nanopowders are in the form of nanorods and nanorulers. The crystal structure is hexagonal tungsten bronze ($MxWO_3$, x =< 0.33), characterized as a tunnel structure that accommodates alkali ions and the phase stabilizer. A gas detection test reveals that $WO_3$ can detect acetone, butanol, ethanol, and gasoline. This is the first study to report this capability of $WO_3$.

Plasma Treatment Effects on Tungsten Oxide Hole Injection Layer for Application to Inverted Top-Emitting Organic Light-Emitting Diodes

  • Kim, Joo-Hyung;Lee, You-Jong;Jang, Yun-Sung;Kim, Doo-Hyun;Hong, Mun-Pyo
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 2009.10a
    • /
    • pp.354-355
    • /
    • 2009
  • In the fabrication of inverted top-emitting organic light emitting diodes (ITOLEDs), the sputtering process is needed for deposition of transparent conducting oxide (TCO) as top anode. Energetic particle bombardment, however, changes the physical properties of underlying layers. In this study, we examined plasma process effects on tungsten oxide ($WO_3$) hole injection layer (HIL). From our results, we suggest the theoretical mechanism to explain the correlation between the physical property changes caused by plasma process on $WO_3$ HIL and degradation of device performances.

  • PDF

Carbothermal Reduction of Oxide Powder Prepared from Waste WC/Co Hardmetal by Solid Carbon (WC/Co 초경 스크랩 산화물의 고체탄소에 의한 환원/침탄)

  • Lee Gil-Geun;Ha Gook-Hyun
    • Journal of Powder Materials
    • /
    • v.12 no.2 s.49
    • /
    • pp.112-116
    • /
    • 2005
  • In the present study, the focus is on the analysis of carbothermal reduction of oxide powder prepared from waste WC/Co hardmetal by solid carbon under a stream of argon for the recycling of the WC/Co hard-metal. The oxide powder was prepared by the combination of the oxidation and crushing processes using the waste $WC-8 wt.\%Co$ hardmetal as the raw material. This oxide powder was mixed with carbon black, and then this mixture was carbothermally reduced under a flowing argon atmosphere. The changes in the phase structure and gases discharge of the mixture during carbothermal reduction was analysed using XRD and gas analyzer. The oxide powder prepared from waste $WC-8wt.\%Co$ hardmetal has a mixture of $WO_{3} and CoWO_{4}$. This oxide powder reduced at about $850^{\circ}C$, formed tungsten carbides at about $950^{\circ}C$, and then fully transformed to a mixed state of tungsten carbide (WC) and cobalt at about $1100^{\circ}C$ by solid carbon under a stream of argon. The WC/Co composite powder synthesized at $1000^{\circ}C$ for 6 hours from oxide powder of waste $WC-8wt.\%Co$ hardmetal has an average particle size of $0.3 {\mu}m$.

The gas sensing characteristic of the porous tungsten oxide thin films based on anodic reaction (양극반응으로 제조된 다공질 WO3 박막의 가스센서 특성)

  • Lee, Hong-Jin;Song, Kap-Duk;Lee, Duk-Dong
    • Journal of Sensor Science and Technology
    • /
    • v.17 no.1
    • /
    • pp.9-14
    • /
    • 2008
  • In this paper, the gas responses of tungsten oxide films prepared by anodic reaction was discussed. Sensing electrodes and heating electrodes were patterned by photolithography method on quartz substrate. Porous tungsten oxide was fabricated in electrolyte solutions of 5 % HF (HF :$C_2H_6OH:H_2O$=3 : 2 : 20) by anodic reaction. The anodic reaction with metal (platinum wire) as a cathode and the sensing device as an anode was conducted under the various reaction times (1-10 min) at 10 mA/$cm^2$ The surface structure and morphology of the fabricated sensor have been analysed by X-ray diffraction (XRD) and field-emission scanning electron microscopy (FE-SEM). All the peaks of XRD results were well indexed to the pure phase pattern. The average diameter of the porous tungsten oxide surface were ranged about 100 nm. The fabricaed sensor showed good sensitivity to 200 ppm toluene at operating temperature of $250^{\circ}C$.

Effects of Sputter Parameters on Electrochromic Properties of Tungsten Oxide Thin Films Grown by RF Sputtering

  • Nah, Yoon-Chae
    • Korean Journal of Materials Research
    • /
    • v.21 no.12
    • /
    • pp.703-707
    • /
    • 2011
  • The electrochromic properties of tungsten oxide films grown by RF sputtering were investigated. Among the sputter parameters, first the $Ar:O_2$ ratios were controlled with division into only an $O_2$ environment, 1:1 and 4:1. The structure of each film prepared by these conditions was studied by X-ray diffraction, X-ray photoelectron spectroscopy and Rutherford backscattering spectroscopy. The sputter-deposited tungsten oxide films had an amorphous structure regardless of the $Ar:O_2$ ratios. The chemical compositions, however, were different from each other. The stoichiometric structure and low-density film was obtained at higher $O_2$ contents. Electrochemical tests were performed by cyclic voltammetry and chronoamperometry at 0.05 M $H_2SO_4$ solutions. The current density and charge ratio was estimated during the continuous potential and pulse potential cycling at -0.5 V and 1.8 V, respectively. The film grown in a higher oxygen environment had a higher current density and a reversible charge reaction during intercalation and deintercalation. The in-situ transmittance tests were performed by He-Ne laser (633 nm). At higher oxygen contents, a big transmittance difference was observed but the response speed was too slow. This was likely caused by higher film resistivity. Furthermore, the effect of sputtering pressure was also investigated. The structure and surface morphology of each film was observed by X-ray diffraction and scanning electron microscopy. A rough surface was observed at higher sputtering pressure, and this affected the higher transmittance difference and coloration efficiency.

Electrochemical Impedance Analysis of Polyaniline-Film on Tungsten Electrodes (텅스텐 전극에 입힌 폴리아닐린의 전기화학적 임피던스)

  • Chon, Jung-Kyoon;Min, Byoung Hoon
    • Journal of the Korean Chemical Society
    • /
    • v.40 no.1
    • /
    • pp.37-43
    • /
    • 1996
  • The electrochemical impedance spectra of polyaniline film coated on tungsten electrodes by cyclic voltammetry have been measured in 0.1 M aqueous sulfuric acid solution. An electrochemical cell composed of large redox capacitance and low resistance of PANI-film in series was in agreement with the conductive behavior reported at these potentials. When the PANI was coated on bare tungsten, the electrolytic resistance was only observed. However, on the oxidized tungsten instead of bare tungsten, the resistance of tungsten oxide and the contact resistance between PANI and tungsten oxide were additionally observed. Equivalent electrical circuits have been deduced from the impedance data. It was therfore possible to obtain the parameters of the ionic mass transport within the film.

  • PDF