• Title/Summary/Keyword: strip layout design

Search Result 73, Processing Time 0.027 seconds

스테이터 및 로터의 블랭킹에 관한 자동화된 공정설계 및 금형설계 시스템

  • Choi, Jae-Chan;Kim, Byung-Min;Kim, Chul;Lee, Seung-Min
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 1994.10a
    • /
    • pp.642-647
    • /
    • 1994
  • This paper describes some research works of computer-aided design of blanking & piercing progressive die for stator and rotor parts by the press. An approach to the system is based on knowledge based rules. The developed system is composed of five main modules such as input & graphic interface, blanking feasibility check, strip layout, die layout and output module. Using this system, design parameters (geometric shapes, die generated in dimensions and dimensions of tool elements) are determined and output is generated in graphic form. Knowledges for tool design are extracted from the plasticity theories, handbooks, relevent references and empirical know-hows of experts in blanking companies. The developed system provides powerful capabilities for process planning and die design of stator and rotor parts.

  • PDF

Study on the Design of Bracket Strip Layout Utilizing Die Design of Cimatron (씨마트론 다이 디자인을 활용한 브라켓의 스트립 레이아웃설계에 관한 연구)

  • Choi, Kye-Kwang;Lee, Dong-Cheon
    • Proceedings of the KAIS Fall Conference
    • /
    • 2008.05a
    • /
    • pp.35-39
    • /
    • 2008
  • 프로그레시브금형에 있어서 스트립 레이아웃설계는 제품 양산을 결정하는 중요 요인이다. 본 논문에서는 자동차에 사용되는 브라켓의 스트립 레이아웃설계를 하였다. 3D모델링이 아닌 자동화 모듈인 씨마트론 다이 디자인을 활용하여 3D로 스트립 레이아웃설계를 하였다. 광폭 2열 2개 뽑기의 내측캐리어를 단 배열로 블랭크 레이아웃을 최적화하였다. 사용된 3D CAD/CAM 소프트웨어는 Cimatron Die Design이며 10개 공정으로 스트립 레이아웃설계를 완성하였다.

  • PDF

Study on the Design of Strip Layout for Ez5 (Ez5의 스트립 레이아웃 설계에 관한 연구)

  • Choi, Kye-Kwang;Lee, Dong-Cheon
    • Proceedings of the KAIS Fall Conference
    • /
    • 2009.05a
    • /
    • pp.252-254
    • /
    • 2009
  • 프로그레시브 노칭과 포밍금형에 있어서 박판성형해석에 의한 사전 분석은 제품을 양산하는데 꼭 거쳐야 하는 필수과정이다. 본 논문에서 연구한 Ez5는 일본 S 자동차의 미국 현지 공장에서 발주한 수출 금형을 가지고 스트립 레이아웃 설계에 관한 것을 연구한 것이다. 광폭 1열 1개 뽑기의 편측캐리어를 단 배열로 블랭크 레이아웃을 최적화하였다. 사용된 3D CAD/CAM 소프트웨어는 Cimatron E Die Design이며 10개 공정으로 스트립 레이아웃설계를 완성하였다.

  • PDF

An Automated Process Planning System for Blanking or Piercing of Irregular-Shaped Sheet Metal Products (ll) (불규칙한 형상의 박판제품에 관한 블랭킹 및 피어싱용 공정설계 시스템(II))

  • Choi, J.C.;Kim, B.M.;Kim, C.;Kim, J.H.;Kim, H.K.
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.14 no.7
    • /
    • pp.39-48
    • /
    • 1997
  • This paper describes the process planning system of a computer-aided design of blanking and piercing for irregularly shaped sheet metal products. An approach to the system is based on knowledge-based rules. The process planning system is designed by considering several factors, such as the complexity of blank geometry, production feasibility of products, and punch profile complexity. Therefore this system which was implemented production feasibility check and strip layout module can carry out a process planning considering a production feasibility area of both internal and external features, a dimension of blanked hole, a coner and a fillet radius for irregualrly shaped sheet metal products and generate the strip layout in graphic froms. Knowledges for process planning are extracted from plasticity theories, handbooks, relevant references and empirical know- hows of experts in blanking companies. This provides powerful capabilities for process planning system of irregularly shaped sheet metal products.

  • PDF

Development of The Multi Forming Type Ultra Precision Die for Sheet Metal ( PartII) - Die Design and Die Making -

  • Sim, Sung-Bo;Jang, Chan-Ho;Sung, Yul-Min
    • Proceedings of the Korea Committee for Ocean Resources and Engineering Conference
    • /
    • 2001.10a
    • /
    • pp.287-291
    • /
    • 2001
  • In this study, we designed and constructed a multi-forming progressive die with a bending, embossing on the multi-stage and performed through the try out. Out of the characteristics of this paper that nothing might be ever seen before such as this type of research method on the all of processes of thin and high precision production part.

  • PDF

A Study on The Strip Layout Design of LCD S/C Using Unigraphics NX3.0 (Unigraphics NX3.0을 활용한 LCD S/C의 스트립 레이아웃 설계에 관한 연구)

  • Choi, Kye-Kwang
    • Proceedings of the KAIS Fall Conference
    • /
    • 2007.05a
    • /
    • pp.245-248
    • /
    • 2007
  • 본 논문에서는 LCD S/C (Shield Case)의 스트립 레이아웃 도를 작성하였다. 프레스 금형에 있어서 스트립 레이아웃 도는 제품 양산을 결정하는 중요 요인이다. 장착되는 다른 부품과의 간섭요인을 용이하게 수정하기 위하여 3D CAD/CAM 시스템을 적용하였다. 사용된 3D CAD/CAM 소프트웨어는 Unigraphics NX 3.0이며 12개 공정으로 스트립 레이아웃 도를 작성하였다.

  • PDF

Study on the Strip Layout Design of HEV UV Terminal (HEV UV단자의 스트립 레이아웃설계에 관한 연구)

  • Choi, Kye-Kwang;Jo, Yun-Ho
    • Proceedings of the KAIS Fall Conference
    • /
    • 2010.05b
    • /
    • pp.1166-1168
    • /
    • 2010
  • 본 논문에서는 HEV UV단자를 자동화 모듈인 씨마트론 다이 디자인을 활용하여 스트립 레이아웃설계를 3D로 하였다. 제품의 스탬핑을 원활하게 하기 위하여 스트립 레이아웃을 33.5도 경사지게 수정하여 광폭 1열 1개 뽑기의 내측캐리어를 단 배열로 블랭크 레이아웃을 최적화하였다. 1개의 금형에서 두개의 단자를 공용으로 양산하기 29개 공정으로 3D 스트립 레이아웃설계를 완성하였다.

  • PDF

A study on the analysis of terminal die and progressive die manufacture (단자 금형의 해석 및 프로그레시브 금형제작에 관한 연구)

  • Kim, Dong-Wook;Choi, Young-Rock;Kim, Sei-Hwan;Choi, Kyu-Kwang
    • Design & Manufacturing
    • /
    • v.9 no.3
    • /
    • pp.41-44
    • /
    • 2015
  • The progressive die is processing methods that can improve the productivity for sequentially transferring while continuously producing in multiple processes. In this study was carried out the die analysis through the load analysis acting on the terminal die and sheet metal forming also the study was carried out with respect to optimized die design for the terminal die and progressive die manufacturing using the CimatronE Die Design.

  • PDF

Development of Hybrid Composite Die for the Production of the Supercapacitor (슈퍼커패시터 양산화를 위한 하이브리드 복합금형 개발)

  • Kwon, Hyuk Hong
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.14 no.1
    • /
    • pp.105-110
    • /
    • 2015
  • In this study, a high-speed procedure to be used in composite molding technology is developed for the production of a hybrid supercapacitor in a progressive and revolutionary current in a production system, as are the related operating conditions. Mold parts with solid modeling, the ease of programming of future mold product designs, tolerance management, and pre-explode tests by the building of a progressive die design system using Cimatron_E10 Die Design Software for the strip layout are done. The capacity of the super-hybrid composite mold design will save time and money through its verification of the manufacture of molds. We plan to apply this to future related products for production cost savings of more than 30% achieved by considering the components of the production costs, labor, and material costs of production as compared to conventional production methods.

Development of the Practical and Adaptive Die of Piloting Stripper Type for sheet Metal(Part 2)

  • Sim, Sung-Bo;Sung, Yul-Min;Song, Yong-Seok;Park, Hae-Kyoung
    • Proceedings of the Korea Committee for Ocean Resources and Engineering Conference
    • /
    • 2000.10a
    • /
    • pp.114-117
    • /
    • 2000
  • In order to keep the zero defect of production in press working process. The optimum design of the production part, strip process layout, die design, die making and try out etc. are necessary the analysis of effective factors. For example, theory and practice of metal shearing process and it's phenomena, die structure, machine tool working for die making, die materials and it's heat treatment, metal working in field, their know how tc. are included in those factors. In this study, we analyzed whole of data base, theoretical back ground of metal working process, and then performed the progressive die tryout with the screw press. Part 2 of this study reveals with precision progressive die design, its making and tryout.

  • PDF