• 제목/요약/키워드: scan flip-flop

검색결과 9건 처리시간 0.011초

High Speed Pulse-based Flip-Flop with Pseudo MUX-type Scan for Standard Cell Library

  • Kim, Min-Su;Han, Sang-Shin;Chae, Kyoung-Kuk;Kim, Chung-Hee;Jung, Gun-Ok;Kim, Kwang-Il;Park, Jin-Young;Shin, Young-Min;Park, Sung-Bae;Jun, Young-Hyun;Kong, Bai-Sun
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • 제6권2호
    • /
    • pp.74-78
    • /
    • 2006
  • This paper presents a high-speed pulse-based flip-flop with pseudo MUX-type scan compatible with the conventional master-slave flip-flop with MUX-type scan. The proposed flip-flop was implemented as the standard cell library using Samsung 130nm HS technology. The data-to-output delay and power-delay-product of the proposed flip-flop are reduced by up to 59% and 49%, respectively. By using this flop-flop, ARM11 softcore has achieved the maximum 1GHz operating speed.

순차 회로의 지연 고장 검출을 위한 새로운 스캔 설계 (New Scan Design for Delay Fault Testing of Sequential Circuits)

  • 허경회;강용석;강성호
    • 대한전기학회논문지:전력기술부문A
    • /
    • 제48권9호
    • /
    • pp.1161-1166
    • /
    • 1999
  • Delay testing has become highlighted in the field of digital circuits as the speed and the density of the circuits improve greatly. However, delay faults in sequential circuits cannot be detected easily due to the existence of state registers. To overcome this difficulty a new scan filp-flop is devised which can be used for both stuck-at testing and delay testing. In addition, the new scan flip-flop can be applied to both the existing functional justification method and the newly-developed reverse functional justification method which uses scan flip-flops as storing the second test patterns rather than the first test patterns. Experimental results on ISCAS 89 benchmark circuits show that the number of testable paths can be increased by about 10% on the average.

  • PDF

A Novel High Performance Scan Architecture with Dmuxed Scan Flip-Flop (DSF) for Low Shift Power Scan Testing

  • Kim, Jung-Tae;Kim, In-Soo;Lee, Keon-Ho;Kim, Yong-Hyun;Baek, Chul-Ki;Lee, Kyu-Taek;Min, Hyoung-Bok
    • Journal of Electrical Engineering and Technology
    • /
    • 제4권4호
    • /
    • pp.559-565
    • /
    • 2009
  • Power dissipation during scan testing is becoming an important concern as design sizes and gate densities increase. The high switching activity of combinational circuits is an unnecessary operation in scan shift mode. In this paper, we present a novel architecture to reduce test power dissipation in combinational logic by blocking signal transitions at the logic inputs during scan shifting. We propose a unique architecture that uses dmuxed scan flip-flop (DSF) and transmission gate as an alternative to muxed scan flip-flop. The proposed method does not have problems with auto test pattern generation (ATPG) techniques such as test application time and computational complexity. Moreover, our elegant method improves performance degradation and large overhead in terms of area with blocking logic techniques. Experimental results on ITC99 benchmarks show that the proposed architecture can achieve an average improvement of 30.31% in switching activity compared to conventional scan methods. Additionally, the results of simulation with DSF indicate that the powerdelay product (PDP) and area overhead are improved by 28.9% and 15.6%, respectively, compared to existing blocking logic method.

Physical-Aware Approaches for Speeding Up Scan Shift Operations in SoCs

  • Lee, Taehee;Chang, Ik Joon;Lee, Chilgee;Yang, Joon-Sung
    • ETRI Journal
    • /
    • 제38권3호
    • /
    • pp.479-486
    • /
    • 2016
  • System-on-chip (SoC) designs have a number of flip-flops; the more flip-flops an SoC has, the longer the associated scan test application time will be. A scan shift operation accounts for a significant portion of a scan test application time. This paper presents physical-aware approaches for speeding up scan shift operations in SoCs. To improve the speed of a scan shift operation, we propose a layout-aware flip-flop insertion and scan shift operation-aware physical implementation procedure. The proposed combined method of insertion and procedure effectively improves the speed of a scan shift operation. Static timing analyses of state-of-the-art SoC designs show that the proposed approaches help increase the speeds of scan shift operations by up to 4.1 times that reached under a conventional method. The faster scan shift operation speeds help to shorten scan test application times, thus reducing test costs.

Efficient Path Delay Testing Using Scan Justification

  • Huh, Kyung-Hoi;Kang, Yong-Seok;Kang, Sung-Ho
    • ETRI Journal
    • /
    • 제25권3호
    • /
    • pp.187-194
    • /
    • 2003
  • Delay testing has become an area of focus in the field of digital circuits as the speed and density of circuits have greatly improved. This paper proposes a new scan flip-flop and test algorithm to overcome some of the problems in delay testing. In the proposed test algorithm, the second test pattern is generated by scan justification, and the first test pattern is processed by functional justification. In the conventional functional justification, it is hard to generate the proper second test pattern because it uses a combinational circuit for the pattern. The proposed scan justification has the advantage of easily generating the second test pattern by direct justification from the scan. To implement our scheme, we devised a new scan in which the slave latch is bypassed by an additional latch to allow the slave to hold its state while a new pattern is scanned in. Experimental results on ISCAS'89 benchmark circuits show that the number of testable paths can be increased by about 45 % over the conventional functional justification.

  • PDF

효율적인 Partial Scan 설계 알고리듬 (An Efficient Algorithm for Partial Scan Designs)

  • 김윤홍;신재흥
    • 전기학회논문지P
    • /
    • 제53권4호
    • /
    • pp.210-215
    • /
    • 2004
  • This paper proposes an implicit method for computing the minimum cost feedback vertex set for a graph. For an arbitrary graph, a Boolean function is derived, whose satisfying assignments directly correspond to feedback vertex sets of the graph. Importantly, cycles in the graph are never explicitly enumerated, but rather, are captured implicitly in this Boolean function. This function is then used to determine the minimum cost feedback vertex set. Even though computing the minimum cost satisfying assignment for a Boolean function remains an NP-hard problem, it is possible to exploit the advances made in the area of Boolean function representation in logic synthesis to tackle this problem efficiently in practice for even reasonably large sized graphs. The algorithm has obvious application in flip-flop selection for partial scan. The algorithm proposed in this paper is the first to obtain the MFVS solutions for many benchmark circuits.

순차 회로의 효율적인 지연 고장 검출을 위한 새로운 테스트 알고리듬 및 스캔 구조 (Efficient Delay Test Algorithm for Sequential Circuits with a New Scan Design)

  • 허경회;강용석;강성호
    • 대한전자공학회논문지SD
    • /
    • 제37권11호
    • /
    • pp.105-114
    • /
    • 2000
  • 지연 고장을 위한 테스트는 디지털 회로의 속도와 직접도가 크게 향상되면서 필수적인 것으로 생각되고 있다. 그러나, 순차 회로에는 상태 레지스터들이 있기 때문에, 지연 고장을 검출하는 것이 쉽지 않다. 이러한 난점을 해결하기 위해 회로의 단일 고착 고장과 지연 고장을 효율적으로 검출할 수 있는 새로운 테스트 방법과 알고리듬을 개발하였고 이를 적용하기 위한 새로운 구조의 스캔 플립-플롭을 제안한다. ISCAS 89 벤치마크 회로에 대한 실험을 통해 지연 고장 검출률이 기존의 전통적인 스캔 테스트 방법에 비해 현격하게 향상된 것을 알 수 있다.

  • PDF

시험성 분석 기법(ITEM)의 부분 스캔 성능 평가 (Partial Scan Performance Evaluation of Iterative Method of Testability Measurement(ITEM))

  • 김형국;이재훈;민형복
    • 전자공학회논문지C
    • /
    • 제35C권11호
    • /
    • pp.11-20
    • /
    • 1998
  • 검사용이성 분석에서는 회로의 모든 선에서 제어율과 관측율 값을 계산하고 이를 기반으로 결함 시험도를 평가한다. 검사용이성 분석은 응용에 따라 제어율과 관측율 값을 이용하기도 하고, 결함 시험도 값을 사용하기도 한다. 검사용이성 분석 알고리즘 ITEM은 이미 결함 시험도 측정 관점에서 평가되었다. 하지만 부분스캔과 같은 응용 분야를 위해 회로 내의 각 선들에 대한 제어율과 관측율 값도 중요한 의미를 가지므로 평가되어야 한다. 본 논문에서는 회로내의 각 선들에 대한 검출율 관점에서 STAFAN과 ITEM을 비교 평가하기 위해, 플립플롭을 스캔함에 따른 전체 회로의 검사용이성 영향을 분석하는 민감도 분석을 이용한 검사용이성 부분 스캔 기법을 통해 간접적으로 ITEM을 평가하였다. ITEM에 의해서 구해진 검사용이성은 STAFAN에 의해 구해진 것과 거의 유사한 값을 유지하였지만, 빠른 실행 시간을 보였다. ITEM은 부분 스캔과 실행 시간에 민감한 크기가 큰 회로에 있어서 효과적일 것으로 판단된다.

  • PDF

저전력 테스트를 고려한 효율적인 테스트 데이터 압축 방법 (An Efficient Test Data Compression/Decompression for Low Power Testing)

  • 전성훈;임정빈;김근배;안진호;강성호
    • 대한전자공학회논문지SD
    • /
    • 제42권2호
    • /
    • pp.73-82
    • /
    • 2005
  • 스캔 테스트를 위한 테스트 데이터의 양과 파워 소모는 SoC 테스트에서의 최근의 직면한 가장 큰 문제들이다. 따라서 본 논문에서는 저전력 테스트를 고려한 새로운 테스트 데이터 압축 방법을 제안한다. 제안하는 압축 방법은 테스트 데이터 압축을 위해 압축율, 전력 소모 감소율과 하드웨어 오버헤드를 고려하여 최대 효율을 가지도록 하는데 기초하고 있다. 압축율과 전력 감소율을 높이기 위해서 본 논문에서는 IR (Input Reduction) 기법과 MSCIR (Modified Statistical Code using Input Reduction) 압축 코드을 사용하며, 뿐만아니라 이를 위한 사전 작업인 새로운 스캔 플립플롭 순서 재조합 기법 및 테스트 패턴 순서 재조합 방법을 제안한다. 기존의 연구와는 달리 CSR 구조를 사용하지 않고 원래의 테스트 데이터 $T_D$를 사용하여 압축하는 방법을 사용한다. 이렇게 함으로써 제안하는 압축 방법은 기존의 연구에 비해 훨씬 높은 압축율을 가지며 낮은 하드웨어 오버헤드의 디컴프레션 구조와 적은 전력 소모를 가진다. ISCAS '89 벤치 회로에 대찬 기존의 연구와의 비교로서 그 결과를 알 수 있다.