• Title/Summary/Keyword: precise deposition

Search Result 91, Processing Time 0.031 seconds

A Design of the Shadow Mask for Large Size OLED Vapor Deposition (대면적 OLED 증착용 새도우 마스크 설계)

  • Kim, Kug-Weon;Um, Tai-Joon;Joo, Young-Cheol;Lee, Sang-Wook;Kwon, Kye-Si
    • Journal of Institute of Control, Robotics and Systems
    • /
    • v.14 no.4
    • /
    • pp.348-352
    • /
    • 2008
  • Deformation of the shadow mask is one of the problems encountered during the deposition of organic materials for manufacturing large size organic light emitting diode (OLED). The larger the glass substrate, the larger the shadow mask becomes. As the size of the shadow mask increases, its deformation becomes more severe, thereby making it difficult to deposit organic materials in a precise pattern on a substrate. In this paper, a new method for reducing drooping of the shadow mask for large size OLED vapor depositions is proposed. The proposed shadow mask with cross stripe wires has higher stiffness than the pure shadow mask, which results in reducing drooping of the shadow mask. A commercial FEM program, ANSYS, is used for the evaluation of the proposed shadow mask. The analysis showed that the shadow mask with cross stripe wires have an effect on reducing drooping about 18.6% or more.

Thin Films for Environmental Application and Energy Devices

  • Kim, Young-Dok
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.91-91
    • /
    • 2012
  • We aim in synthesizing various functional thin films thinner than ~ 10 nm for environmental applications and photovoltaic devices. Atomic layer deposition is used for synthesizing inorganic thin films with a precise control of the film thickness. Several examples about application of our thin films for removing volatile organic compounds (VOC) will be highlighted, which are summarized in the below. 1) $TiO_2$ thin films prepared by ALD at low temperature ($<100^{\circ}C$) show high adsorption capacity for toluene. In combination with nanostructured templates, $TiO_2$ thin films can be used as building-block of high-performing VOC filter. 2) $TiO_2$ thin films on carbon fibers and nanodiamonds annealed at high temperatures are active for photocatalytic oxidation of VOCs, i.e. photocatalytic filter can be created by atomic layer deposition. 3) NiO can catalyze oxidation of toluene to $CO_2$ and $H_2O$ at $<300^{\circ}C$. $TiO_2$ thin films on NiO can reduce poisoning of NiO surfaces by reaction intermediates below $200^{\circ}C$. We also fabricated inverted organic solar cell based on ZnO electron collecting layers on ITO. $TiO_2$ thin films with a mean diameter less than 3 nm on ZnO can enhance photovoltaic performance by reducing electron-hole recombination on ZnO surfaces.

  • PDF

Bioinspired superhydrophobic steel surfaces

  • Heo, Eun-Gyu;O, Gyu-Hwan;Lee, Gwang-Ryeol;Mun, Myeong-Un
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.509-509
    • /
    • 2011
  • Superhydrophobic surfaces on alloyed steels were fabricated with a non-conventional method of plasma etching and subsequent water immersion procedure. High aspect ratio nanopatterns of nanoflake or nano-needle were created on the steels with various Cr content in its composition. With CF4 plasma treatment in radio-frequence chemical vapor deposition (r.-f. CVD) method, steel surfaces were etched and fluorinated by CF4 plasma, which induced the nanopattern evolution through the water immersion process. It was found that fluorine ion played a role as a catalyst to form nanopatterns in water elucidated with XPS and TEM analysis. The hierarchical patterns in micro- and nano scale leads to superhydrophobic properties on the surfaces by deposition of a hydrophobic coating with a-C:H:Si:O film deposited with a gas precursor of hexamethlydisiloxane (HMDSO) with its lower surface energy of 24.2 mN/m, similar to that of curticular wax covering lotus surfaces. Since this method is based on plasma dry etching & coating, precise patterning of surface texturing would be potential on steel or metal surfaces. Patterned hydrophobic steel surfaces were demonstrated by mimicking the Robinia pseudoacacia or acacia leaf, on which water was collected from the humid air using a patterned hydrophobicity on the steels. It is expected that this facile, non-toxic and fast technique would accelerate the large-scale production of superhydrophobic engineering materials with industrial applications.

  • PDF

Spatial-temporal Analysis of Topographical Change at the Malipo Beach (만리포 사빈의 시계열 3차원 지형 변화 분석)

  • PARK, Han San
    • Journal of The Geomorphological Association of Korea
    • /
    • v.20 no.1
    • /
    • pp.97-109
    • /
    • 2013
  • This study introduces an analysis of the quantitative characteristics of topography and topographical changes based on precise 3D topography through 6 times surveys from December 2008 to January 2010 using Terrestrial LIDAR on the Malipo beach. The Malipo sand beach is mostly located between 0m to 1.5m MSL. The area of the beach above 2.25m, the Mean High Water, is very small. It have characteristics of topographical change of erosion and deposition along the entire coast line which more apparently appear in the northern beach than the southern part of the beach. Erosion prevails from spring to autumn, while during winter both erosion and deposition largely occur. Volumes from first and last survey were almost equal.

A Study of Machining Optimization of Parts for Semiconductor Plasma Etcher (반도체 플라즈마 식각 장치의 부품 가공 연구)

  • Lee, Eun Young;Kim, Moon Ki
    • Journal of the Semiconductor & Display Technology
    • /
    • v.19 no.4
    • /
    • pp.28-33
    • /
    • 2020
  • Plasma etching process employs high density plasma to create surface chemistry and physical reactions, by which to remove material. Plasma chamber includes silicon-based materials such as a focus ring and gas distribution plate. Focus ring needs to be replaced after a short period. For this reason, there is a need to find materials resistant to erosion by plasma. The developed chemical vapor deposition processing to produce silicon carbide parts with high purity has also supported its widespread use in the plasma etch process. Silicon carbide maintains mechanical strength at high temperature, it have been use to chamber parts for plasma. Recently, besides the structural aspects of silicon carbide, its electrical conductivity and possibly its enhanced life time under high density plasma with less generation of contamination particles are drawing attention for use in applications such as upper electrode or focus rings, which have been made of silicon for a long time. However, especially for high purity silicon carbide focus ring, which has usually been made by the chemical vapor deposition method, there has been no study about quality improvement. The goal of this study is to reduce surface roughness and depth of damage by diamond tool grit size and tool dressing of diamond tools for precise dimensional assurance of focus rings.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

Fabrication of Solid Oxide Fuel Cells with Electron Beam Physical Vapor Deposition: I. Preparation of Thin Electrolyte Film of YSZ (전자빔 물리증착을 이용한 고체 산화물 연료전지의 제조 : I. YSZ 박막 전해질의 제조)

  • Kim, Hyoungchul;Koo, Myeong-Seo;Park, Jong-Ku;Jung, Hwa-Young;Kim, Joosun;Lee, Hae-Weon;Lee, Jong-Ho
    • Journal of the Korean Ceramic Society
    • /
    • v.43 no.2 s.285
    • /
    • pp.85-91
    • /
    • 2006
  • Electron Beam Physical Vapor Deposition (EB-PVD) was applied to fabricate a thin film YSZ electrolyte with large area on the porous NiO-YSZ anode substrate. Microstructural and thermal stability of the as-deposited electrolyte film was investigated via SEM and XRD analysis. In order to obtain an optimized YSZ film with high stability, both temperature and surface roughness of substrate were varied. A structurally homogeneous YSZ film with large area of $12\times12\;cm^2$ and high thermal stability up to $900^{\circ}C$ was fabricated at the substrate temperature of $T_s/T_m$ higher than 0.4. The smoother surface was proved to give the better film quality. Precise control of heating and cooling rate of the anode substrate was necessary to obtain a very dense YSZ electrolyte with high thermal stability, which affords to survive after post heat treatment for fabrication a cathode layer on it as well as after long time operation of solid oxide fuel cell at high temperature.

Characterization of Atomic-Layer Deposited ZnSnO Buffer Layer for 18%- Efficiency Cu(In,Ga)Se2 Solar Cells (18% 효율 Cu(In,Ga)Se2 박막태양전지용 ZnSnO 버퍼층의 원자층 증착법 및 분석)

  • Kim, Sun Cheul;Kim, Seung Tae;Ahn, Byung Tae
    • Current Photovoltaic Research
    • /
    • v.3 no.2
    • /
    • pp.54-60
    • /
    • 2015
  • ZnSnO thin films were deposited by atomic layer deposition (ALD) process using diethyl zinc ($Zn(C_2H_5)_2$) and tetrakis (dimethylamino) tin ($Sn(C_2H_6N)_4$) as metal precursors and water vapor as a reactant. ALD process has several advantages over other deposition methods such as precise thickness control, good conformality, and good uniformity for large area. The composition of ZnSnO thin films was controlled by varying the ratio of ZnO and $SnO_2$ ALD cycles. The ALD ZnSnO film was an amorphous state. The band gap of ZnSnO thin films increased as the Sn content increased. The CIGS solar cell using ZnSnO buffer layer showed about 18% energy conversion efficiency. With such a high efficiency with the ALD ZnSnO buffer and no light soaking effect, AlD ZnSnO buffer mighty be a good candidate to replace Zn(S,O) buffer in CIGSsolar cells.

Design and Analysis of Aluminum Melting Machine in Fused Deposition Modeling Method (압출 적층 방식의 알루미늄 용융기의 설계 및 해석)

  • Lee, Hyun-Seok;Na, Yeong-Min;Kang, Tae-Hun;Park, Jong-Kyu;Park, Tae-Gone
    • Journal of the Korean Society of Manufacturing Process Engineers
    • /
    • v.14 no.4
    • /
    • pp.62-72
    • /
    • 2015
  • Interest in three-dimensional (3D) printing processes has grown significantly, and several types have been developed. These 3D printing processes are classified as Selective Laser Sintering (SLS), Stereo-Lithography Apparatus (SLA), and Fused Deposition Modeling (FDM). SLS can be applied to many materials, but because it uses a laser-based material removal process, it is expensive. SLA enables fast and precise manufacturing, but available materials are limited. FDM printing's benefits are its reasonable price and easy accessibility. However, metal printing using FDM can involve technical problems, such as suitable component supply or the thermal expansion of the heating part. Thus, FDM printing primarily uses materials with low melting points, such as acrylonitrile butadiene styrene (ABS) or polylactic acid (PLA) resin. In this study, an FDM process for enabling metal printing is suggested. Particularly, the nozzle and heatsink for this process are focused for stable printing. To design the nozzle and heatsink, multi-physical phenomena, including thermal expansion and heat transfer, had to be considered. Therefore, COMSOL Multiphysics, an FEM analysis program, was used to analyze the maximum temperature, thermal expansion, and principal stress. Finally, its performance was confirmed through an experiment.

Effect of DC Bias on the Growth of Nanocrystalline Diamond Films by Microwave Plasma CVD (마이크로웨이브 플라즈마 CVD에 의한 나노결정질 다이아몬드 박막 성장 시 DC 바이어스 효과)

  • Kim, In-Sup;Kang, Chan Hyoung
    • Journal of the Korean institute of surface engineering
    • /
    • v.46 no.1
    • /
    • pp.29-35
    • /
    • 2013
  • The effect of DC bias on the growth of nanocrystalline diamond films on silicon substrate by microwave plasma chemical vapor deposition has been studied varying the substrate temperature (400, 500, 600, and $700^{\circ}C$), deposition time (0.5, 1, and 2h), and bias voltage (-50, -100, -150, and -200 V) at the microwave power of 1.2 kW, working pressure of 110 torr, and gas ratio of Ar/1%$CH_4$. In the case of low negative bias voltages (-50 and -100 V), the diamond particles were observed to grow to thin film slower than the case without bias. Applying the moderate DC bias is believed to induce the bombardment of energetic carbon and argon ions on the substrate to result in etching the surfaces of growing diamond particles or film. In the case of higher negative voltages (-150 and -200 V), the growth rate of diamond film increased with the increasing DC bias. Applying the higher DC bias increased the number of nucleation sites, and, subsequently, enhanced the film growth rate. Under the -150 V bias, the height (h) of diamond films exhibited an $h=k{\sqrt{t}}$ relationship with deposition time (t), where the growth rate constant (k) showed an Arrhenius relationship with the activation energy of 7.19 kcal/mol. The rate determining step is believed to be the surface diffusion of activated carbon species, but the more subtle theoretical treatment is required for the more precise interpretation.