• Title/Summary/Keyword: patterning process

Search Result 443, Processing Time 0.028 seconds

Characteristics of nanolithograpy process on polymer thin-film using near-field scanning optical microscope with a He-Cd laser (He-Cd 레이저와 근접장현미경을 이용한 폴리머박막 나노리소그라피 공정의 특성분석)

  • Kwon S. J.;Kim P. K.;Chun C. M.;Kim D. Y.;Chang W. S.;Jeong S. H.
    • Laser Solutions
    • /
    • v.7 no.3
    • /
    • pp.37-46
    • /
    • 2004
  • The shape and size variations of the nanopatterns produced on a polymer film using a near-field scanning optical microscope(NSOM) are investigated with respect to the process variables. A cantilever type nanoprobe having a 100nm aperture at the apex of the pyramidal tip is used with the NSOM and a He-Cd laser at a wavelength of 442nm as the illumination source. Patterning characteristics are examined for different laser beam power at the entrance side of the aperture($P_{in}$), scan speed of the piezo stage(V), repeated scanning over the same pattern, and operation modes of the NSOM(DC and AC modes). The pattern size remained almost the same for equal linear energy density. Pattern size decreased for lower laser beam power and greater scan speed, leading to a minimum pattern width of around 50nm at $P_{in}=1.2{\mu}W\;and\;V=12{\mu}m/s$. Direct writing of an arbitrary pattern with a line width of about 150nm was demonstrated to verify the feasibility of this technique for nanomask fabrication. Application on high-density data storage is discussed.

  • PDF

CMP of BTO Thin Films using $TiO_2$ and $BaTiO_3$ Mixed Abrasive slurry ($BaTiO_3$$TiO_2$ 연마제 첨가를 통한 BTO박막의 CMP)

  • Seo, Yong-Jin;Ko, Pil-Ju;Kim, Nam-Hoon;Lee, Woo-Sun
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2005.11a
    • /
    • pp.68-69
    • /
    • 2005
  • BTO ($BaTiO_3$) thin film is one of the high dielectric materials for high-density dynamic random access memories (DRAMs) due to its relatively high dielectric constant. It is generally known that BTO film is difficult to be etched by plasma etching, but high etch rate with good selectivity to pattern mask was required. The problem of sidewall angle also still remained to be solved in plasma etching of BTO thin film. In this study, we first examined the patterning possibility of BTO film by chemical mechanical polishing (CMP) process instead of plasma etching. The sputtered BTO film on TEOS film as a stopper layer was polished by CMP process with the self-developed $BaTiO_3$- and $TiO_2$-mixed abrasives slurries (MAS), respectively. The removal rate of BTO thin film using the$ BaTiO_3$-mixed abrasive slurry ($BaTiO_3$-MAS) was higher than that using the $TiO_2$-mixed abrasive slurry ($TiO_2$-MAS) in the same concentrations. The maximum removal rate of BTO thin film was 848 nm/min with an addition of $BaTiO_3$ abrasive at the concentration of 3 wt%. The sufficient within-wafer non-uniformity (WIWNU%)below 5% was obtained in each abrasive at all concentrations. The surface morphology of polished BTO thin film was investigated by atomic force microscopy (AFM).

  • PDF

A novel method for manufacturing macroscale patterns to enhance electrical efficiency by Triboelectric generator (마찰전기 발전기의 전기 효율을 향상하기 위한 macroscale 패턴 제조 방식 연구)

  • Yang, Jun-Ho;Lee, Jaeyoung
    • Journal of Energy Engineering
    • /
    • v.29 no.1
    • /
    • pp.75-84
    • /
    • 2020
  • This study investigates a simple, yet effective and affordable, manufacturing method to increase the electrical efficiency by triboelectric generator (TEG) applying 3D printers. In this study, we propose the newly manufacturing method for producing a macroscale surface patterning. Overall experiments were conducted in designed test-bed chamber system which can control the magnitude and frequency of the frictional force and the relative humidity. Furthermore, we can demonstrate the voltage enhancement of macroscale surface patterns about 1.6-fold. The peak voltage producing by TEG was as high as 18 V. In comparison with conventional process that employ micro- and nanoscale patterns, the proposed process by 3D printer is faster and more suitable for mass production.

CMP of BTO Thin Films using Mixed Abrasive slurry (연마제 첨가를 통한 BTO Film의 CMP)

  • Kim, Byeong-In;Lee, Gi-Sang;Park, Jeong-Gi;Jeong, Chang-Su;Gang, Yong-Cheol;Cha, In-Su;Jeong, Pan-Geom;Sin, Seong-Heon;Go, Pil-Ju;Lee, U-Seon
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2006.05a
    • /
    • pp.101-102
    • /
    • 2006
  • BTO ($BaTiO_3$) thin film is one of the high dielectric materials for high-density dynamic random access memories (DRAMs) due to its relatively high dielectric constant, It is generally known that BTO film is difficult to be etched by plasma etching, but high etch rate with good selectivity to pattern mask was required. The problem of sidewall angle also still remained to be solved in plasma etching of BTO thin film. In this study, we first examined the patterning possibility of BTO film by chemical mechanical polishing (CMP) process instead of plasma etching. The sputtered BTO film on TEOS film as a stopper layer was polished by CMP process with the sell-developed $BaTiO_3$- and $TiO_2$-mixed abrasives slurries (MAS). respectively. The removal rate of BTO thin film using the $BaTiO_3$-mixed abrasive slurry ($BaTiO_3$-MAS) was higher than that using the $TiO_2$-mixed abrasive slurry ($TiO_2$-MAS) in the same concentrations. The maximum removal rate of BTO thin film was 848 nm/min with an addition of $BaTiO_3$ abrasive at the concentration of 3 wt%.

  • PDF

Recent Progress in Patterned Membranes for Membrane-Based Separation Process (분리공정을 위한 패턴화 멤브레인 최근 연구 동향)

  • Aung, Hein Htet;Patel, Rajkumar
    • Membrane Journal
    • /
    • v.31 no.3
    • /
    • pp.170-183
    • /
    • 2021
  • Fouling has continued to be a problem that hinders the effectiveness of membrane properties. To solve this problem of reducing fouling effects on membrane surface properties, different and innovative types of membrane patterning has been proposed. This article reviews on the progress of patterned membranes and their separation process concerning the fouling effects of membranes. The types of separation processes that utilize the maximum effectiveness of the patterned membranes include nanofiltration (NF), reverse osmosis (RO), microfiltration (MF), ultrafiltration (UF), and pervaporation (PV). Using these separation processes have shown and prove to have a major effect on reducing fouling effects, and in addition, they also add beneficial properties to the patterned membranes. Each patterned membrane and their separation processes gave notable results in threshold towards flux, salt rejections, hydrophilicity and much more, but there are also some unsolved cases to be pointed out. In this review, the effects of patterned membrane for separation processes will be discussed.

A Study on the Characteristics and Cleanliness of Fluidic Strip Process of Environment-Friendly Aqueous Stripper (친환경 수계 박리액의 유동박리 공정 특성 및 청정성 연구)

  • Lee, Ki-Seong;Lee, Jaeone;Kim, Young Sung
    • Clean Technology
    • /
    • v.24 no.3
    • /
    • pp.175-182
    • /
    • 2018
  • In this research, we investigated the cleanliness by optimizing the water content of the aqueous stripper in fluidic strip process. The stripping properties of the photoresist with optimized aqueous stripper were compared with the commercial organic stripper. The stripping performance was evaluated by electrical and optical characteristics on the surface of the transparent electrode that compare with stripped the transparent electrode surface and the rare surface before patterning by the photoresist. As a result of the photoresist stripping process of the organic stripper and the aqueous stripper optimized for water content, the aqueous stripper exhibited better electrical and optical characteristics than the organic stripper. In the case of the fluidic strip process with organic stripper, the photoresist dissolves in the stripper solution during stripping which can cause re-adsorption by contamination. Whereas that the aqueous stripper under development seems to decrease the photoresist dissolution in the stripper solution. Because the cyclodextrin contained in the stripper captures organic photoresist into hall of cyclodextrin which stripped through swelling and tearing. The photoresist residue captured by the cyclodextrin can be filtered. After the fluidic stripping process by different chemical stripping mechanism, the cleanliness of the organic stripper and aqueous stripper was compared and analyzed.

The Wet and Dry Etching Process of Thin Film Transistor (박막트랜지스터의 습식 및 건식 식각 공정)

  • Park, Choon-Sik;Hur, Chang-Wu
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.13 no.7
    • /
    • pp.1393-1398
    • /
    • 2009
  • Conventionally, etching is first considered for microelectronics fabrication process and is specially important in process of a-Si:H thin film transistor for LCD. In this paper, we stabilize properties of device by development of wet and dry etching process. The a-Si:H TFTs of this paper is inverted staggered type. The gate electrode is lower part. The gate electrode is formed by patterning with length of 8 ${\mu}$m${\sim}$16 ${\mu}$m and width of 80${\sim}$200 ${\mu}$m after depositing with gate electrode (Cr) 1500 ${\AA}$under coming 7059 glass substrate. We have fabricated a-SiN:H, conductor, etch-stopper and photo resistor on gate electrode in sequence, respectively. The thickness of these thin films is formed with a-SiN:H (2000 ${\mu}$m), a-Si:H(2000 ${\mu}$m) and n+a-Si:H (500 ${\mu}$m), We have deposited n-a-Si:H, NPR(Negative Photo Resister) layer after forming pattern of Cr gate electrode by etch-stopper pattern. The NPR layer by inverting pattern of upper gate electrode is patterned and the n+a-Si:H layer is etched by the NPR pattern. The NPR layer is removed. After Cr layer is deposited and patterned, the source-drain electrode is formed. In the fabricated TFT, the most frequent problems are over and under etching in etching process. We were able to improve properties of device by strict criterion on wet, dry etching and cleaning process.

A study of fabrication micro bump for TSP testing using maskless lithography system. (Maskless Lithography system을 이용한 TSP 검사 용 micro bump 제작에 관한 연구.)

  • Kim, Ki-Beom;Han, Bong-Seok;Yang, Ji-Kyung;Han, Yu-Jin;Kang, Dong-Seong;Lee, In-Cheol
    • Journal of the Korea Academia-Industrial cooperation Society
    • /
    • v.18 no.5
    • /
    • pp.674-680
    • /
    • 2017
  • Touch Screen Panel (TSP) is a widely used personal handheld device and as a large display apparatus. This study examines micro bump fabrication technology for TSP test process. In the testing process, as TSP is changed, should make a new micro bump for probing and modify the testing program. In this paper we use a maskless lithography system to confirm the potential to fabricatemicro bump to reducecost and manufacturing time. The requiredmaskless lithography system does not use a mask so it can reduce the cost of fabrication and it flexible to cope with changes of micro bump probing. We conducted electro field simulation by pitches of micro bump and designed the lithography pattern image for the maskless lithography process. Then we conducted Photo Resist (PR) patterning process and electro-plating process that are involved in MEMS technology to fabricate micro bump.

UV-nanoimprint Patterning Without Residual Layers Using UV-blocking Metal Layer (UV 차단 금속막을 이용한 잔류층이 없는 UV 나노 임프린트 패턴 형성)

  • Moon Kanghun;Shin Subum;Park In-Sung;Lee Heon;Cha Han Sun;Ahn Jinho
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.12 no.4 s.37
    • /
    • pp.275-280
    • /
    • 2005
  • We propose a new approach to greatly simplify the fabrication of conventional nanoimprint lithography (NIL) by combined nanoimprint and photolithography (CNP). We introduce a hybrid mask mold (HMM) made from UV transparent material with a UV-blocking Cr metal layer placed on top of the mold protrusions. We used a negative tone photo resist (PR) with higher selectivity to substrate the CNP process instead of the UV curable monomer and thermal plastic polymer that has been commonly used in NIL. Self-assembled monolayer (SAM) on HMM plays a reliable role for pattern transfer when the HMM is separated from the transfer layer. Hydrophilic $SiO_2$ thin film was deposited on all parts of the HMM, which improved the formation of SAM. This $SiO_2$ film made a sub-10nm formation without any pattern damage. In the CNP technique with HMM, the 'residual layer' of the PR was chemically removed by the conventional developing process. Thus, it was possible to simplify the process by eliminating the dry etching process, which was essential in the conventional NIL method.

  • PDF

Development of the DNA Sequencing Chip with Nano Pillar Array using Injection Molding (Nano Pillar Array 사출성형을 이용한 DNA 분리 칩 개발)

  • Kim S.K.;Choi D.S.;Yoo Y.E.;Je T.J.;Kim T.H.;Whang K.Y.
    • Proceedings of the Korean Society of Precision Engineering Conference
    • /
    • 2005.06a
    • /
    • pp.1206-1209
    • /
    • 2005
  • In recent, injection molding process for features in sub-micron scale is under active development as patterning nano-scale features, which can provide the master or stamp for molding, and becomes available around the world. Injection molding has been one of the most efficient processes for mass production of the plastic product, and this process is already applied to nano-technology products successfully such as optical storage media like DVD or BD which is a large area plastic thin substrate with nano-scale features on its surface. Bio chip for like DNA sequencing may be another application of this plastic substrate. The DNA can be sequenced using order of 100 nm pore structure when making the DNA flow through the pore structure. Agarose gel and silicon based chip have been used to sequence the DNA, but injection molded plastic chip may have benefit in terms of cost. This plastic DNA sequencing chip has plenty of pillars in order of 100 nm in diameter on the substrate. When the usual features in case of DVD or BD have very low aspect ratio, even less than 0.5, but the DNA chip will have relatively high aspect ratio of about 2. It is not easy to injection mold the large area thin substrate with sub-micron features on its surface due to the characteristics of the molding process and it becomes much more difficult when the aspect ratio of the features becomes high. We investigated the effect of the molding parameters for injection molding with high aspect ratio nano-scale features and injection molded some plastic DNA sequencing chips. We also fabricated PR masters and Ni stamps of the DNA chip to be used for molding

  • PDF