• Title/Summary/Keyword: pattern selectivity

Search Result 85, Processing Time 0.221 seconds

Robust Pilot-aided Frequency Offset Estimation Scheme for OFDM-based Broadcasting System with Cyclic Delay Diversity

  • Shin, Won-Jae;You, Young-Hwan
    • KSII Transactions on Internet and Information Systems (TIIS)
    • /
    • v.7 no.12
    • /
    • pp.3055-3070
    • /
    • 2013
  • This paper proposes an improved carrier frequency offset (CFO) and sampling frequency offset (SFO) estimation scheme for orthogonal frequency division multiplexing (OFDM) based broadcasting system with cyclic delay diversity (CDD) antenna. By exploiting a periodic nature of channel transfer function, cyclic delay and pilot pattern with a maximum channel power are carefully chosen, which helps to enable a robust estimation of CFO and SFO against the frequency selectivity of the channel. As a performance measure, a closed-form expression for the achievable mean square error of the proposed scheme is derived and is verified through simulations using the parameters of the digital radio mondiale standard. The comparison results show that the proposed frequency estimator is shown to benefit from properly selected delay parameter and pilot pattern, with a performance better than the existing estimator.

The real-time color pattern recognition system using an SLM and photorefractive crystal(LiNbO$_3$) (SLM과 광굴절 결정(LiNbO$_3$)을 이용하여 실현된 실시간 칼라 패턴인식 시스템)

  • Yun, Jin-Sun;Kim, Nam
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.27 no.3B
    • /
    • pp.267-274
    • /
    • 2002
  • In this paper, the real-time color pattern recognition system is experimented by optical approach using the SLM(TH LCD) and a photorefractive material. In the implemented system, the character patterns to recognize are controlled of an SLM, and a 10mm thick LiNbO$_3$ crystal having high angular selectivity and photorefractive effect is used for recording materials. The shape and color informations of the red or green color patterns are excellently discriminated at independent positions by real-time processing, as the results obtained by proposed optical architecture.

Role of CH2F2 and N-2 Flow Rates on the Etch Characteristics of Dielectric Hard-mask Layer to Extreme Ultra-violet Resist Pattern in CH2F2/N2/Ar Capacitively Coupled Plasmas

  • Kwon, B.S.;Lee, J.H.;Lee, N.E.
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2011.02a
    • /
    • pp.210-210
    • /
    • 2011
  • The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.

  • PDF

A Step-wise Elimination Method Based on Euclidean Distance for Performance Optimization Regarding to Chemical Sensor Array (유클리디언 거리 기반의 단계적 소거 방법을 통한 화학센서 어레이 성능 최적화)

  • Lim, Hea-Jin;Choi, Jang-Sik;Jeon, Jin-Young;Byu, Hyung-Gi
    • Journal of Sensor Science and Technology
    • /
    • v.24 no.4
    • /
    • pp.258-263
    • /
    • 2015
  • In order to prevent drink-driving by detecting concentration of alcohol from driver's exhale breath, twenty chemical sensors fabricated. The one of purposes for sensor array which consists of those sensors is to discriminate between target gas(alcohol) and interference gases($CH_3CH_2OH$, CO, NOx, Toluene, and Xylene). Wilks's lambda was presented to achieve above purpose and optimal sensors were selected using the method. In this paper, step-wise sensor elimination based on Euclidean distance was investigated for selecting optimal sensors and compared with a result of Wilks's lambda method. The selectivity and sensitivity of sensor array were used for comparing performance of sensor array as a result of two methods. The data acquired from selected sensor were analyzed by pattern analysis methods, principal component analysis and Sammon's mapping to analyze cluster tendency in the low space (2D). The sensor array by stepwise sensor elimination method had a better sensitivity and selectivity compared to a result of Wilks's lambda method.

The Etching Characteristics of Polyimide Thin Films using CF4O2 Gas Plasma (CF4O2 gas 플라즈마를 이용한 폴리이미드 박막의 식각)

  • 강필승;김창일;김상기
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.15 no.5
    • /
    • pp.393-397
    • /
    • 2002
  • Polyimide (PI) films have been studied widely as the interlayer dielectric materials due to a low dielectric constant, low water absorption, high gap-fill and planarization capability. The polyimide film was etched using inductively coupled plasma system. The etcying characteristics such as etch rate and selectivity were evaluated at different $CF_4/(CF_4+O_2)$chemistry. The maximum etch rate was 8300 ${\AA}/min$ and the selectivity of polyimide to SiO$_2$was 5.9 at $CF_4/(CF_4+O_2)$ of 0.2. Etch profile of polyimide film with an aluminum pattern was measured by a scanning electron microscopy. The vertical profile was approximately $90^{\circ}$ at $CF_4/(CF_4+O_2)$ of 0.2. As 20% $CF_4$ were added into $O_2$ plasma from the results of the optical emission spectroscopy, the radical densities of fluorine and oxygen increased with increasing $CF_4$ concentration in $CF_4/O_2$ from 0 to 20%, resulting in the increased etch rate. The surface reaction of etched PI films was investigated using x-ray photoelectron spectroscopy.

Highly Tunable Block Copolymer Self-assembly for Nanopatterning

  • Jeong, Yeon-Sik;Jeong, Jae-Won
    • Proceedings of the Materials Research Society of Korea Conference
    • /
    • 2011.05a
    • /
    • pp.6.1-6.1
    • /
    • 2011
  • Nanoscale block copolymer (BCP) patterns have been pursued for applications in sub-30 nm nanolithography. BCP self-assembly processing is scalable and low cost, and is well-suited for integration with existing semiconductor fabrication techniques. However, one of the major technical challenges for BCP self-assembly is limited tunability in pattern geometry, dimension, and functionality. We suggest methods for extending the degree of tunability by choosing highly incompatible polymer blocks and utilizing solvent vapor treatment techniques. Siloxane BCPs have been developed as self-assembling resists due to many advantages such as high etch-selectivity, good etch-resistance, long-range ordering, and reduced line-edge roughness. The large incompatibility leads to extensive degree of pattern tunability since the effective volume fraction can be easily manipulated by solvent-based treatment techniques. Thus, control of the microdomain size, periodicity, and morphology is possible by changing the vapor pressure and the mixing ratio of selective solvents. This allows a range of different pattern geometry such as dots, lines and holes and critical dimension simply by changing the processing conditions of a given block copolymer without changing a polymer chain length. We demonstrate highly extensive tunability (critical dimension ~6~30 nm) of self-assembled patterns prepared by a siloxane BCP with extreme incompatibility.

  • PDF

Chemical Mechanical Polishing Characteristics of BTO Thin Film for Vertical Sidewall Patterning of High-Density Memory Capacitor (고집적 메모리 커패시터의 Vertical Sidewall Patterning을 위한 BTO 박막의 CMP 특성)

  • Ko, Pil-Ju;Park, Sung-Woo;Lee, Kang-Yeon;Lee, Woo-Sun;Seo, Yong-Jin
    • The Transactions of the Korean Institute of Electrical Engineers C
    • /
    • v.55 no.3
    • /
    • pp.116-121
    • /
    • 2006
  • Most high-k materials are well known not to be etched easily, Some problems such as low etch rate poor sidewall angle, plasma damage, and process complexity were emerged from the high-density DRAM fabrication. Chemical mechanical polishing (CMP) by a damascene process was proposed to pattern this high-k material was polished with some commercial silica slurry as a function of pH variation. Sufficient removal rate with adequate selectivity to realize the pattern mask of tera-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle were obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. The planarization was also achieved for the subsequent multi-level processes. Our new CMP approach will provide a guideline for effective patterning of high-k material by CMP technique.

In-Situ Dry-cleaning (ISD) Monitoring of Amorphous Carbon Layer (ACL) Coated Chamber

  • Lee, Ho-Jae;Park, George O.;Hong, Sang-Jeen
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.183-183
    • /
    • 2012
  • In the era of 45 nm or beyond technology, conventional etch mask using photoresist showed its limitation of etch mask pattern collapse as well as pattern erosion, thus hard mask in etching became necessary for precise control of etch pattern geometry. Currently available hard mask materials are amorphous carbon and polymetric materials spin-on containing carbon or silicon. Amorphous carbon layer (ACL) deposited by PECVD for etch hard mask has appeared in manufacturing, but spin-on carbon (SOC) was also suggested to alleviate concerns of particle, throughput, and cost of ownership (COO) [1]. SOC provides some benefits of reduced process steps, but it also faced with wiggling on a sidewall profile. Diamond like carbon (DLC) was also evaluated for substituting ACL, but etching selectivity of ACL was better than DLC although DLC has superior optical property [2]. Developing a novel material for pattern hard mask is very important in material research, but it is also worthwhile eliminating a potential issue to continuously develop currently existing technology. In this paper, we investigated in-situ dry-cleaning (ISD) monitoring of ACL coated process chamber. End time detection of chamber cleaning not only provides a confidence that the process chamber is being cleaned, but also contributes to minimize wait time waste (WOW). Employing Challenger 300ST, a 300mm ACL PECVD manufactured by TES, a series of experimental chamber cleaning runs was performed after several deposition processes in the deposited film thickness of $2000{\AA}$ and $5000{\AA}$. Ar Actinometry and principle component analysis (PCA) were applied to derive integrated and intuitive trace signal, and the result showed that previously operated cleaning run time can be reduced by more than 20% by employing real-time monitoring in ISD process.

  • PDF

DNA-functionalized single-walled carbon nanotube-based sensor array for gas monitoring

  • Zhang, Wenjun;Liu, Yu;Wang, Ming. L
    • Smart Structures and Systems
    • /
    • v.12 no.1
    • /
    • pp.73-95
    • /
    • 2013
  • Nine deoxyribonucleic acid (DNA) sequences were used to functionalize single-walled carbon nanotube (SWNT) sensors to detect the trace amount of methanol, acetone, and HCl in vapor. DNA 24 Ma (24 randomly arranged nitrogenous bases with one amine at each end of it) decorated SWNT sensor and DNA 24 A (only adenine (A) base with a length of 24) decorated SWNT sensor have demonstrated the largest sensing responses towards acetone and HCl, respectively. On the other hand, for the DNA GT decorated SWNT sensors with different sequence lengths, the optimum DNA sequence length for acetone and HCl sensing is 32 and 8, separately. The detection of methanol, acetone, and HCl have identified that DNA functionalized SWNT sensors exhibit great selectivity, sensitivity, and repeatability with an accuracy of more than 90%. Further, a sensor array composed of SWNT functionalized with various DNA sequences was utilized to identify acetone and HCl through pattern recognition. The sensor array is a combination of four different DNA functionalized SWNT sensors and two bare SWNT sensors (work as reference). This wireless sensing system has enabled real-time gas monitoring and air quality assurance for safety and security.

Development of process flexibility by SOG resist analysis with AFM lithography (AFM lithography에 있어서 SOG resist의 특성 분석에 의한 공정 여유도 개선)

  • 최창훈;이상훈;김수길;최재혁;박선우
    • Journal of the Korean Vacuum Society
    • /
    • v.5 no.4
    • /
    • pp.309-314
    • /
    • 1996
  • We found that SOG which had been used in plarnarization of VLSI circuit fabrication at present could be used as a resist material for AFM lithography. In this experiment on the basis of previous studies, we improved the process flexibility by controlling the coating film thickness, etching time, etching selectively and proper applied voltage on the pattern size to apply for practical VLSI lithography process. We obtained pattern with the current of 5 nA at 60 V. The line width was 800 $\AA$. With the developed flexibility of SOG as a resist material, AFM lithography will be a expedient technique in the next generation DRAM fabrication.

  • PDF