• 제목/요약/키워드: ion beam lithography

검색결과 65건 처리시간 0.03초

Surface energy assisted gecko-inspired dry adhesives

  • Rahmawan, Yudi;Kim, Tae-Il;Kim, Seong-Jin;Lee, Kwang-Ryeol;Moon, Myoung-Woon;Suh, Kahp-Yang
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2011년도 제40회 동계학술대회 초록집
    • /
    • pp.449-449
    • /
    • 2011
  • We reported the direct effect of intrinsic surface energy of dry adhesive material to the Van der Waals and capillary forces contributions of the total adhesion force in an artificial gecko-inspired adhesion system. To mimic the gecko foot we fabricated tilted nanohairy structures using both lithography and ion beam treatment. The nanohairy structures were replicated from Si wafer mold using UV curable polymeric materials. The control of nanohairs slanting angles was based on the uniform linear argon ion irradiation to the nanohairy polymeric surface. The surface energy was studied utilizing subsequent conventional oxygen ion treatment on the nanohairy structures which resulted in gradient surface energy. Our shear adhesion test results were found in good agreement with the accepted Van der Waals and capillary forces theory in the gecko adhesion system. Surface energy would give a direct impact to the effective Hamaker constant in Van der Waals force and the filling angle (${\varphi}$) of water meniscus in capillary force contributions of gecko inspired adhesion system. With the increasing surface energy, the effective Hamaker constant also increased but the filling angle decreased, resulting in a competition between the two forces. Using a simple mathematical model, we compared our experimental results to show the quantitative contributions of Van der Waals and capillary forces in a single adhesion system on both hydrophobic and hydrophilic surfaces. We found that the Van der Waals force contributes about 82.75% and 89.97% to the total adhesion force on hydrophilic and hydrophobic test surfaces, respectively, while the remaining contribution was occupied by capillary force. We also showed that it is possible to design ultrahigh dry adhesive with adhesion strength of more than 10 times higher than apparent gecko adhesion force by controlling the surface energy and the slanting angle induced-contact line of dry adhesive the materials.

  • PDF

$O_2$ plasma ashing을 이용한 그라핀 식각 실험 (Experiment of Graphene Etching by Using $O_2$ Plasma Ashing)

  • 오세만;김은호;박재민;조원주;정종완
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2009년도 하계학술대회 논문집
    • /
    • pp.424-424
    • /
    • 2009
  • 그라핀은 밴드갭이 없어서 세미메탈의 성질을 띠므로 초고속 RF 소자에는 응용이 가능하지만, 현재 사용되는 반도체 칩에 사용하기가 불가능하다. 그러나 그라핀을 매우 좁은 리본 형태로 만들 경우 밴드갭이 생기고 이에 따라 반도체특성을 뛰게 된다. 이러한 특성은 시뮬레이션을 통해서만 이해되다가 2007년 P. Kim이 그라핀 나노리본의 밴드캡이 리본의 폭이 좁아짐에 따라 증가함을 실험적으로 최초로 발표하였다. 하지만 그라핀을 나노리본형태로 식각 방법에 대해서는 정확히 연구되지 않았다. 따라서 본 연구에서는 $O_2$ plasma ashing 방법을 이용하여 그라핀을 식각하는 방법에 대해 연구하였다. 먼저 Si기판을 initial cleaning 한 후, highly-oriented pyrolytic graphite(HOPG)를 이용하여 기존의 mechanical exfoliation 방식을 통해 그라핀을 형성하였다. Photo-lithography 방법을 통하여 패터닝한 후, 그라핀을 식각하기 위하여 Reactive Ion Etcher (RIE) system을 이용한 $O_2$ plasma ashing을 50 W에서 1 분간 실시하였다. 다시 image reverse photo-lithography 과정과 E-beam evaporator system를 통해서 Al 전극을 형성하여 graphene-FET를 제작하였고, 광학 현미경과 AFM (Atomic force microscope)을 통해 두께를 확인하였다. 본 연구를 통하여 $O_2$ plasma ashing을 이용하여 쉽게 그라 E을 식각할 수 있음을 확인 하였으며, 제작된 소자의 전기적 특성에 대해서 현재 실험중에 있다.

  • PDF

Fabrication of metal nano-wires using carbon nanotube masks

  • Yun, W.S.
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 1999년도 제17회 학술발표회 논문개요집
    • /
    • pp.175-175
    • /
    • 1999
  • Circumventing problems lying in the conventional lithographic techniques, we devised a new method for the fabrication of nanometer scale metal wires inspired by the unique characteristics of carbon nanotubes (CNTs). Since carbon nanotubes could act as masks when CNT-coated thin Au/Ti layer on a SiO2 surface was physically etched by low energy argon ion bombardment 9ion milling), Au/Ti nano-wires were successfully formed just below the CNTs exactly duplicating their lateral shapes. Cross-sectional analysis by transmission electron microscopy revealed that the edge of the metal wire was very sharply developed indicating the great difference in the milling rates between the CNTs and the metal layer as well as the good directionality of the ion milling. We could easily find a few nanometer-wide Au/Ti wires among the wires of various width. After the formation of nano-wires, the CNTs could be pushed away from the metal nano-wire by atomic force microscopy, The lateral force for the removal of the CNTs are dependent upon the width and shape of the wires. Resistance of the metal nano-wires without the CNTs was also measured through the micro-contacts definted by electron beam lithography. since this CNT-based lithographic technique is, in principle, applicable to any kinds of materials, it can be very useful in exploring the fields of nano-science and technology, especially when it is combines with the CNT manipulation techniques.

  • PDF

피코초 레이저의 공정변수에 따른 TSV 드릴링 특성연구 (Parametric Study of Picosecond Laser Hole Drilling for TSV)

  • 신동식;서정;김정오
    • 한국레이저가공학회지
    • /
    • 제13권4호
    • /
    • pp.7-13
    • /
    • 2010
  • Today, the most common process for generating Through Silicon Vias (TSVs) for 3D ICs is Deep Reactive Ion Etching (DRIE), which allows for high aspect ratio blind holes with low surface roughness. However, the DRIE process requires a vacuum environment and the use of expensive masks. The advantage of using lasers for TSV drilling is the higher flexibility they allow during manufacturing, because neither vacuum nor lithography or masks arc required and because lasers can be applied even to metal and to dielectric layers other than silicon. However, conventional nanosecond lasers have the disadvantage of causing heat affection around the target area. By contrast, the use of a picosecond laser enables the precise generation of TSVs with less heat affected zone. In this study, we conducted a comparison of thermalization effects around laser-drilled holes when using a picosecond laser set for a high pulse energy range and a low pulse energy range. Notably, the low pulse energy picosecond laser process reduced the experimentally recast layer, surface debris and melts around the hole better than the high pulse energy process.

  • PDF

미크론 자성비드 검출용 바이오센서에 대한 고감도 GMR-SV 소자의 제작과 특성 연구 (Fabrication and Characteristics of a Highly Sensitive GMR-SV Biosensor for Detecting of Micron Magnetic Beads)

  • 최종구;이상석;박영석
    • 한국자기학회지
    • /
    • 제22권5호
    • /
    • pp.173-177
    • /
    • 2012
  • 미크론 자성비드 검출용 바이오센서에 활용하는 GMR-SV 박막을 이온빔 스퍼터링 증착법으로 glass/Ta(5.8 nm)/NiFe(5 nm)/Cu(t nm)/NiFe(3 nm)/FeMn(12 nm)/Ta(5.8 nm)의 구조를 갖도록 증착하였다. 비자성체 Cu의 두께가 3.0 nm에서 2.2 nm까지 얇아질수록 교환결합력은 증가하였고 자기저항비는 다소 감소하였다. 비자성체의 두께가 얇으면 반강자성체의 층간 교환작용이 강자성체의 고정층뿐만 아니라 자유층의 스핀배열에도 영향을 주고 있음을 확인할 수 있었다. 또한 리소그래피 공정 과정을 거쳐 GMR-SV 소자를 제작하여 미크론 자기비드를 검출하였다. 여기서 자기비드를 떨어뜨리기 전과 후의 자기저항비, 교환결합력, 보자력은 각각 0.9%, 3Oe, 2 Oe의 값을 나타내었다. 이러한 결과로 나노 단위의 바이오센서에 활용할 수 있는 가능성을 보여주었다.

Real-Time Spacer Etch-End Point Detection (SE-EPD) for Self-aligned Double Patterning (SADP) Process

  • Han, Ah-Reum;Lee, Ho-Jae;Lee, Jun-Yong;Hong, Sang-Jeen
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2012년도 제42회 동계 정기 학술대회 초록집
    • /
    • pp.436-437
    • /
    • 2012
  • Double patterning technology (DPT) has been suggested as a promising candidates of the next generation lithography technology in FLASH and DRAM manufacturing in sub-40nm technology node. DPT enables to overcome the physical limitation of optical lithography, and it is expected to be continued as long as e-beam lithography takes place in manufacturing. Several different processes for DPT are currently available in practice, and they are litho-litho-etch (LLE), litho-etch-litho-etch (LELE), litho-freeze-litho-etch (LFLE), and self-aligned double patterning (SADP) [1]. The self-aligned approach is regarded as more suitable for mass production, but it requires precise control of sidewall space etch profile for the exact definition of hard mask layer. In this paper, we propose etch end point detection (EPD) in spacer etching to precisely control sidewall profile in SADP. Conventional etch EPD notify the end point after or on-set of a layer being etched is removed, but the EPD in spacer etch should land-off exactly after surface removal while the spacer is still remained. Precise control of real-time in-situ EPD may help to control the size of spacer to realize desired pattern geometry. To demonstrate the capability of spacer-etch EPD, we fabricated metal line structure on silicon dioxide layer and spacer deposition layer with silicon nitride. While blanket etch of the spacer layer takes place in inductively coupled plasma-reactive ion etching (ICP-RIE), in-situ monitoring of plasma chemistry is performed using optical emission spectroscopy (OES), and the acquired data is stored in a local computer. Through offline analysis of the acquired OES data with respect to etch gas and by-product chemistry, a representative EPD time traces signal is derived. We found that the SE-EPD is useful for precise control of spacer etching in DPT, and we are continuously developing real-time SE-EPD methodology employing cumulative sum (CUSUM) control chart [2].

  • PDF

다중벽 탄소나노튜브를 이용한 나노 브리지 제작 (Fabrication of Nano-bridge Using a Suspended Multi-Wall Carbon Nanotube)

  • 이종홍;원문철;서희원;송진원;한창수
    • 한국정밀공학회지
    • /
    • 제24권3호
    • /
    • pp.134-139
    • /
    • 2007
  • We report the suspension of individual multi-walled carbon nanotubes (MWNTs) from the bottom substrate using deep trench electrodes that were fabricated using optical lithography. During drying of the solution in dielectrophoretic assembly, the capillary force pulls the MWNT toward the bottom substrate, and it then remains as a deformed structure adhering to the bottom substrate after the solution has dried out. Small-diameter MWNTs cannot be suspended using thin electrodes with large gaps, but large-diameter MWNTs can be suspended using thicker electrodes. We present the statistical experimental results for successful suspension, as well as the feasible conditions for a MWNT suspension based on a theoretical approach.

고 투과 C 형 개구를 이용한 나노 크기 패턴 구현 (Nano-size Patterning with a High Transmission C-shaped Aperture)

  • 박신증;김용우;이응만;한재원
    • 한국정밀공학회지
    • /
    • 제24권11호
    • /
    • pp.108-115
    • /
    • 2007
  • We have designed a high transmission C-shaped aperture using finite differential time domain (FDTD) technique. The C-shaped aperture was fabricated in the aluminum thin film on a glass substrate using a focused ion beam (FIB) milling. Nano-size patterning was demonstrated with a vacuum contact device to keep tight contact between the Al mask and the photoresist. Using 405 nm laser, we recorded a 50 nm-size dot pattern on the photoresist with the aperture and analyzed the spot size dependent on the dose illuminated on the aperture.

정밀장비의 진동허용규제치에 미치는 인자에 관한 연구 (A Study on the Effected Factor for Vibration Criteria of Sensitive Equipment)

  • 이홍기;장강석;김두훈;김사수
    • 한국소음진동공학회:학술대회논문집
    • /
    • 한국소음진동공학회 1998년도 춘계학술대회논문집; 용평리조트 타워콘도, 21-22 May 1998
    • /
    • pp.302-307
    • /
    • 1998
  • In the production of semiconductor wafer, optical and electron microscopes, ion-beam, laser device must maintain their alignments within a sub-micrometer. This equipment requires a vibration free environment to provide its proper function. Especially, lithography and inspection devices, which have sub-nanometer class high accuracy and resolution, have come to necessity for producing more improved giga and tera class semiconductor wafers. This high technology equipments require very strict environmental vibration standard, vibration criteria, in proportion to the accuracy of the manufacturing, inspecting devices. The vibration criteria of high sensitive equipment should be represented in the form of exactness and accuracy, because this is used as basic data for the design of building structure and structural dynamics of equipment. The study on the evaluation of the factors affecting the permissible vibration criteria is required to design the efficient isolation system of the semiconductor manufacturing of equipment. This paper deals with the properties of the effected factor for vibration criteria of high sensitive equipment.

  • PDF

저온 공정의 Si을 이용한 PI 배향 막의 전기광학 특성 향상에 대한 연구 (Si Induced Polymer Based Alignment Layer for Liquid Crystal Orientations with High Electro-Optic Properties at Low Temperature)

  • 김대현
    • 한국전기전자재료학회논문지
    • /
    • 제26권9호
    • /
    • pp.677-681
    • /
    • 2013
  • Apart from the deposition of alignment layer, alignment process needs to be involved for alignment of liquid crystal (LC) molecules. To simplify manufacturing process, several method were used such as rubbing, ion-beam irradiation, UV irradiation, and lithography. But, eventually it needs another treatment for LC alignment. Here, we suggested Si induced polyimide (PI) alignment layer at low temperature. Using this method, we are able to eliminate the alignment process and found that the alignment and electro-optic performance are much better than that of the rubbed PI LC cells. Compared to the rubbed PI cells, the response time was decreased by 70% and C-V characteristics have hysteresis-free.