• Title/Summary/Keyword: emission mask

Search Result 70, Processing Time 0.02 seconds

Derivation of the Baseline and Interference Effects for Block Edge Mask (Block Edge Mask의 대역 외 방출 기준치 유도 및 간섭 영향 분석)

  • Kim, Min-Jae;Kang, Yun-Seok;Lee, Hyuck-Jae
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.36 no.12A
    • /
    • pp.1034-1043
    • /
    • 2011
  • As an introduction of an open spectrum allocation approach with technology and service neutrality is expected as a way to solve problems of frequency shortage due to the expansion of demand for wireless multimedia communications, recently, the new management model of interferences and its standard setting are required. In this paper, as a model for this new interference management considering several candidates, Block Edge Mask(BEM) approach is chosen as the most appropriate model. And to investigate the effects of interference resulting from the standard setting of BEM, assuming the use of LTE systems in the Digital Dividend, BEM out-of-band baseline in the boundaries between digital terrestrial television (DTV) service and LTE mobile communications services which are heterogeneous systems for analysis is derived and the effect of setting guard band is analyzed.

Fabrication of Sputtered Gated Silicon Field Emitter Arrays with Low Gate Leakage Currents by Using Si Dry Etch

  • Cho, Eou Sik;Kwon, Sang Jik
    • Transactions on Electrical and Electronic Materials
    • /
    • v.14 no.1
    • /
    • pp.28-31
    • /
    • 2013
  • A volcano shaped gated Si-FEA (silicon field emitter array) was simply fabricated using sputtering as a gate electrode deposition and lift-off for the removal of the oxide mask, respectively. Due to the limited step coverage of well-controlled sputtering and the high aspect ratio in Si dry etch caused by high RF power, it was possible to obtain Si FEAs with a stable volcano shaped gate structure and to realize the restriction of gate leakage current in field emission characteristics. For 100 tip arrays and 625 tip arrays, gate leakage currents were restricted to less than 1% of the anode current in spite of the volcano-shaped gate structure. It was also possible to keep the emitters stable without any failure between the Si cathode and gate electrode in field emission for a long time.

Novel Out-of-band Emission Measurement Method for Terrestrial DTV Transmitter using Pre-Compensation Method (사전보정기법을 사용한 새로운 지상파 DTV 대역외발사강도 측정법)

  • Her, Young-Tae;Kwon, Won-Hyun
    • Journal of IKEEE
    • /
    • v.20 no.1
    • /
    • pp.54-60
    • /
    • 2016
  • In this paper, out-of-band emission regulations and measurement methods of terrestrial DTV broadcasting services are analyzed, and a novel method to evaluate the out-of-band emission characteristics of DTV transmitter is proposed. Experimental results show that proposed method can be easily used to measure the characteristics of DTV transmitter with inexpensive measuring equipments. With a few modifications, proposed method can be widely adopted to measure the RF performances of the general radio equipments efficiently.

Monte-Carlo Based Interference Analysis of 900MHz Passive RFID systems (몬테카를로(Monte-Carlo) 방법을 적용한 수동형 900MHz 대역의 RFID 간섭 분석)

  • Kim Young-Hwan;Eo Pill-Seon;Yang Hoon-Gee;Park Seung-Keun;Kang Bongsoon;Kim Young-Soo;Yook Jong-Gwan
    • Journal of the Institute of Electronics Engineers of Korea TC
    • /
    • v.43 no.1 s.343
    • /
    • pp.9-18
    • /
    • 2006
  • This paper presents a Monte-Carlo based method to obtain a probability of interference in the 900MHz passive RFID systems. We show an efficient algorithm to calculate not only in-band interference due to unwanted emission of interfering sources for a given emission mask, but out-of-band interference, which depends on the blocking performances of a victim receiver filter. We next apply the proposed method to two types of RFID systems, one is to use simple FHSS and the other to adopt a hybrid use of FHSS and LBT, which senses the channel before transmission. Simulation is first performed with a SEAMCAT. We next make simulation with a MATLAB software which implements SEAMCAT algorithm and show both results from two softwares are similar.

A Study on the lithography using MIM cathodes (MIM(Metal-Insulator-Metal) Cathode를 이용한 Lithography 연구)

  • Choi, Kwang-Nam;Kwak, Sung-Kwan;Chung, Kwan-Soo;Kim, Dong-Sik;Kang, Chang-Soo
    • Proceedings of the IEEK Conference
    • /
    • 2005.11a
    • /
    • pp.1253-1256
    • /
    • 2005
  • We have developed an electron lithography method, Electron Emission Lithography (EEL), which is capable of printing integrated circuits with an exposure time of only a few seconds. The basic design of the mask, manufactured by standard MIM technology, will be discussed. Patterns printed into e-beam resist by a 1:1 projection system show the applicability of the mask for lithography purposes. The minimum feature size projected so far is 10 um in a system capable of 100 m resolution. Further improvements in resolution to 50 nm are possible.

  • PDF

Fabrication of Transparent Ultra-thin Single-walled Carbon Nanotube Films for Field Emission Applications

  • Jang, Eun-Soo;Goak, Jung-Choon;Lee, Han-Sung;Kim, Myoung-Su;Lee, Nae-Sung
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2008.11a
    • /
    • pp.353-353
    • /
    • 2008
  • Carbon nanotubes (CNTs) are attractive for field emitter because of their outstanding electrical, mechanical, and chemical properties. Several applications using CNTs as field emitters have been demonstrated such as field emission display (FED), backlight unit (BLU), and X-ray source. In this study, we fabricated a CNT cathode using transparent ultra-thin CNT film. First, CNT aqueous solution was prepared by ultrasonically dispersing purified single-walled carbon nanotubes (SWCNTs) in deionized water with sodium dodecyl sulfate (SDS). To obtain the CNT film, the CNT solution in a milliliter or even several tens of micro-litters was deposited onto a porous alumina membrane through vacuum filtration process. Thereafter, the alumina membrane was solvated by the 3 M NaOH solution and the floating CNT film was easily transferred to an indium-tin-oxide (ITO) glass substrate of $0.5\times0.5cm^2$ with a film mask. The transmittance of as-prepared ultra-thin CNT films measured by UV-Vis spectrophotometer was 68~97%, depending on the amount of CNTs dispersed in an aqueous solution. Roller activation, which is a essential process to improve the field emission characteristics of CNT films, increased the UV-Vis transmittance up to 93~98%. This study presents SEM morphology of CNT emitters and their field emission properties according to the concentration of CNTs in an aqueous solutions. Since the ultra-thin CNT emitters prepared from the solutions show a high peak current density of field emission comparable to that of the paste-base CNT emitters and do not contain outgassing sources such as organic binders, they are considered to be very promising for small-size-but-high-end applications including X-ray sources and microwave power amplifiers.

  • PDF

Nitride/Oxide Etch Spectrum Data Verification by Using Optical Emission Spectroscopy (OES를 이용한 질화막/산화막의 식각 스펙트럼 데이터 분석)

  • Park, Soo-Kyoung;Kang, Dong-Hyun;Han, Seung-Soo;Hong, Sang-Jeen
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.25 no.5
    • /
    • pp.353-360
    • /
    • 2012
  • As semiconductor device technology continuously shrinks, low-open area etch process prevails in front-end etch process, such as contact etch as well as one cylindrical storage (OCS) etch. To eliminate over loaded wafer processing test, it is commonly performed to emply diced small coupons at stage of initiative process development. In nominal etch condition, etch responses of whole wafer test and coupon test may be regarded to provide similar results; however, optical emission spectroscopy (OES) which is frequently utilize to monitor etch chemistry inside the chamber cannot be regarded as the same, especially etch mask is not the same material with wafer chuck. In this experiment, we compared OES data acquired from two cases of etch experiments; one with coupon etch tests mounted on photoresist coated wafer and the other with coupons only on the chuck. We observed different behaviors of OES data from the two sets of experiment, and the analytical results showed that careful investigation should be taken place in OES study, especially in coupon size etch.

The Coexistence of OFDM-Based Systems Beyond 3G with Fixed Service Microwave Systems

  • Jo Han-Shin;Yoon Hyun-Goo;Lim Jae-Woo;Chung Woo-Ghee;Yook Jong-Gwan;Park Han-Kyu
    • Journal of Communications and Networks
    • /
    • v.8 no.2
    • /
    • pp.187-193
    • /
    • 2006
  • In this paper, we study the coexistence of orthogonal frequency division multiplexing (OFDM)-based systems beyond 3G (B3G) and point-to-point (P-P) fixed service (FS) microwave systems. The advanced general analytical model derived via a power spectral density (PSD) analysis proposed in this paper has two advantages in comparison with the conventional minimum coupling loss (MCL) method. First, the interfering signal power that appears in the band of a victim system can be easily assessed without a spectrum emission mask. Second, when transmit power is not allocated to some subcarriers overlapping the band of the victim system in order to mitigate B3G OFDM-based systems interference with other systems, the general analytical model can successfully assess the interference from the B3G systems into FS systems, whereas the MCL method incorporating the spectrum emission mask cannot be applied in the presence of the same interference condition. The proposed model can be derived in a closed form and is simply implemented with the help of simulation, and thus the solution can be obtained in significantly reduced time. Through application of the proposed model, coexistence results are analyzed in a co-channel and adjacent channel with respect to guard band and minimum separation distance.

Adjacent Interference Analysis between M-WiMAX OFDMA/TDD and WCDMA FDD System in the 2.6 GHz Band Part I : Adjacent Interference Analysis in SISO System (2.6 GHz 대역에서 M-WiMAX OFDMA/TDD 시스템과 WCDMA FDD 시스템간의 상호 간섭 분석 Part I : SISO 시스템에서의 상호 간섭 분석)

  • Ko, Sang-Jun;Wang, Yu-Peng;Chang, Kyung-Hi
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.32 no.6A
    • /
    • pp.573-587
    • /
    • 2007
  • In this paper, we analyze the adjacent interference between WCDMA and M-WiMAX systems in the 2.6GHz Band under the SISO (Single Input Single Output) configuration. The interference scenarios are characterized into 8 scenarios with different victim and interfering links. Among the 8 scenarios, we find that the most performance loss is observed in the scenarios of victim uplink suffering interference from downlink in both systems. Besides, guard band is applied to mitigate the adjacent interference in all the scenarios. Especially, we reveal that M-WiMAX system is much more sensitive to adjacent interference than WCDMA system due to the lower transmission power. In this paper, we consider the worst interference environment, where interferers always transmit with the maximum power, a loose spectrum mask is adapted, and no additional channel fitters are equipped in both systems.

Coded Aperture Gamma Camera for Thyroid Imaging: Monte Carlo Simulation (갑상선 영상 획득을 위한 부호화 구경 감마카메라: 몬테칼로 시뮬레이션 연구)

  • Beak, Cheol-Ha;Lee, Seung-Jae;Chung, Yong-Hyun
    • Progress in Medical Physics
    • /
    • v.19 no.4
    • /
    • pp.247-255
    • /
    • 2008
  • A coded aperture camera has been developed to improve the signal-to-noise ratio (SNR) while keeping the spatial resolution of a pinhole gamma camera. The purpose of this study was to optimize a coded aperture camera and to evaluate its possibility for thyroid imaging by Monte Carlo simulation. A clinical gamma camera, a pinhole collimator with 1.0 mm hole diameter, and a $79{\times}79$ modified uniformly redundant array (MURA) mask were designed using GATE (Geant4 Application for Tomographic Emission). The penetration ratio, spatial resolution, integral uniformity and signal-to-noise ratio (SNR) were simulated and evaluated as a function of the mask thickness. The spatial resolution of the coded aperture camera was consistent with the various mask thickness, SNR showed a maximum value at 1.2 mm mask thickness and integral uniformity was improved by increasing mask thickness. Compare to the pinhole gamma camera, the coded aperture camera showed improved SNR by a factor of 30 while keeping almost the same spatial resolution. In this simulation study, the results indicated that high spatial resolution and ultra-high SNR of the thyroid imaging are feasible using a coded aperture camera.

  • PDF