• 제목/요약/키워드: delay factor

Search Result 588, Processing Time 0.03 seconds

Novel Radix-26 DF IFFT Processor with Low Computational Complexity (연산복잡도가 적은 radix-26 FFT 프로세서)

  • Cho, Kyung-Ju
    • The Journal of Korea Institute of Information, Electronics, and Communication Technology
    • /
    • v.13 no.1
    • /
    • pp.35-41
    • /
    • 2020
  • Fast Fourier transform (FFT) processors have been widely used in various application such as communications, image, and biomedical signal processing. Especially, high-performance and low-power FFT processing is indispensable in OFDM-based communication systems. This paper presents a novel radix-26 FFT algorithm with low computational complexity and high hardware efficiency. Applying a 7-dimensional index mapping, the twiddle factor is decomposed and then radix-26 FFT algorithm is derived. The proposed algorithm has a simple twiddle factor sequence and a small number of complex multiplications, which can reduce the memory size for storing the twiddle factor. When the coefficient of twiddle factor is small, complex constant multipliers can be used efficiently instead of complex multipliers. Complex constant multipliers can be designed more efficiently using canonic signed digit (CSD) and common subexpression elimination (CSE) algorithm. An efficient complex constant multiplier design method for the twiddle factor multiplication used in the proposed radix-26 algorithm is proposed applying CSD and CSE algorithm. To evaluate performance of the previous and the proposed methods, 256-point single-path delay feedback (SDF) FFT is designed and synthesized into FPGA. The proposed algorithm uses about 10% less hardware than the previous algorithm.

Analysis of Propagation Characteristics in 6, 10, and 17 GHz Semi-Basement Indoor Corridor Environment (6, 10, 17 GHz 반지하 실내 복도 환경의 전파 특성 분석)

  • Lee, Seong-Hun;Cho, Byung-Lok
    • The Journal of the Korea institute of electronic communication sciences
    • /
    • v.17 no.4
    • /
    • pp.555-562
    • /
    • 2022
  • This study measured and analyzed the propagation characteristics at frequencies 6, 10, and 17 GHz to discover the new propagation demands in a semi-basement indoor corridor environment for meeting the 4th industrial revolution requirements. The measured indoor environment is a straight corridor consisting of three lecture rooms and glass windows on the outside. The measurement scenario development and measurement system were constructed to match this environment. The transmitting antenna was fixed, and the frequency domain and time domain propagation characteristics were measured and analyzed in the line-of-sight environment based on the distance of the receiving antenna location. In the frequency domain, reliability was determined by the parameters of the floating intercept (FI) path loss model and an R-squared value of 0.5 or more. In the time domain, the root mean square (RMS) delay spread and the cumulative probability of K-factor were used to determine that 6 GHz had high propagation power and 17 GHz had low propagation power. These research results will be effective in providing ultra-connection and ultra-delay artificial intelligence services for WIFI 6, 5G, and future systems in a semi-basement indoor corridor environment.

Overlay Multicast Update Strategy Based on Perturbation Theory

  • Shen, Ye;Feng, Jing;Ma, Weijun;Jiang, Lei;Yin, Min
    • KSII Transactions on Internet and Information Systems (TIIS)
    • /
    • v.11 no.1
    • /
    • pp.171-192
    • /
    • 2017
  • The change of any element in the network is possible to cause performance degradation of the multicast network. So it is necessary to optimize the topology path through the multicast update strategy, which directly affects the performance and user experience of the overlay multicast. In view of the above, a new multicast tree update strategy based on perturbation theory Musp (Multicast Update Strategy based on Perturbation theory) is proposed, which reduces the data transmission interruption caused by the multicast tree update and improves user experiences. According to the multicast tree's elements performance and the topology structure, the Musp strategy defines the multicast metric matrix and based on the matrix perturbation theory it also defines the multicast fluctuation factor. Besides it also demonstrates the calculability of the multicast fluctuation factor presents the steps of the Musp algorithm and calculates the complexity. The experimental results show that compared with other update strategies, as for the sensitivity of the multicast fluctuation factor's energized multicast tree to the network disturbance, the maximum delay of the Musp update strategy is minimal in the case of the local degradation of network performance.

Analysis of Delay Factors Based on Importance of Construction Subject-classified in Apartment Finishing Works (공동주택 마감공사 공사주체별 작업지연 요인 분석)

  • Lee, Seung-Hoon;Kim, Yong-Man;Kim, Ju-Hyung;Kim, Jae-Junn
    • Journal of the Korea Institute of Building Construction
    • /
    • v.11 no.1
    • /
    • pp.73-81
    • /
    • 2011
  • The ultimate goal of construction is to complete the given work in the most economical and safest way within the required construction period while meeting the quality standards specified in the design drawing. There are a few characteristics of finish work. First, executed in subdivided processes, finish work involves a very diverse and complex structure. Second, there are no criteria for each segmented process with regard to the appropriate time of input. Third, it is not very necessary to set priorities for lead and lag works. This study intends to provide information on the completion of a project in accordance with the required duration by setting priorities in the delay of each detailed process of finish work to minimize delay in finish work. In this study, finish work is divided into wet work and other types of finish work, and the importance of each process is classified based on the given details of each process. In addition, the study employs a survey to analyze delay factors of a designer, a constructor, and a supplier. Using the survey results, the study sets priorities in delay of final work to provide information on the completion of an apartment project within the planned construction period.

Performance of a Closed-Loop Power Control Using a Variable Step-size Control Scheme in a DS/CDMA LEO Mobile Satellite System (DS/CDMA 저궤도 이동 위성 시스템에서 가변 스텝사이즈 조절 방식 폐루프 전력제어의 성능분석)

  • 전동근;이연우;홍선표
    • The Journal of the Acoustical Society of Korea
    • /
    • v.19 no.1
    • /
    • pp.16-24
    • /
    • 2000
  • In this paper the performance of a closed-loop power control scheme using variable step size decision method for DS/CDMA based-low earth orbit(LEO) mobile satellite systems in which the long round trip delay is a dominant performance degradation factor is evaluated. Because there are fundamental differences in the characteristics between the LEO mobile satellite channel and terrestrial mobile channel, such as long round trip delay and different elevation angle, these factors are considered in channel modeling based on the European Space Agency(ESA) measurement data. Since the round trip delay (from the mobile terminal to the gateway station via satellite) is typically 10∼20ms in low altitude satellite channels, closed-loop power control is much less effective than it is on a terrestrial channel. Thus, the adaptive power control scheme using a variable step size control is essential for overcoming the long round trip delay and fading due to the elevation angle. It is shown that the standard deviation of signal to interference ratio(SIR) adopting a variable step size closed-loop power control scheme is much less than that of a fixed step size closed-loop power control. Furthermore, we have driven the conclusion that the measurement interval of power control commands is optimal choice when it is twice the round trip delay.

  • PDF

The Effect of Delayed Compaction on Unconfined Compressive Strength of Soil-Cement Mixtures (지연다짐이 Soil-Cement의 압축강도에 미치는 영향)

  • 정일웅;김문기;도덕현
    • Magazine of the Korean Society of Agricultural Engineers
    • /
    • v.28 no.4
    • /
    • pp.66-76
    • /
    • 1986
  • This study was attempted to investigate the effects of delayed compaction on the unconfined compressive strengh and dry density of Soil-cement mixtures. Soil-cement construction is a time-consuming procedure. Time-delay is known as a detrimental factor to lower the quality of soil-cement layer. A laboratory test was performed using coarse and fine weathered granite soils. The soils were mixed with 7% cement at optimum moisture content and excess moisture content in part. Socondary additives such as lime, gypsum-plaster, flyash and sugar were tried to counteract the detri-mental effect of delayed compaction. The specimens were compacted by Harvard Miniature Compaction Apparatus at 0,1,2,4,6 hors after mixing. Two kinds of compactive efforts(9 kgf and 18 kgf tamper) were applied. The results were summarized as follows: 1.With the increase of time delay, the decrease rate of dry density of the specimen compacted by 9 kgf tamper was steeper than that of the specimen compacted by 18kgf tamper. In the same manner, soil-B had steeper decreasing rate of dry density than soil-A. 2.Based on the results of delayed compaction tests, the dry density and unconfined compressive sterngth were rapidly decreased in the early 2 hours delay, while those were slowly decreased during the time delay of 2 to 6 hours. 3.The dry density and unconfined compressive strength were increased by addition of 3% excess water to the optimum moisture content during the time delay of 2 to 6 hours. 4.Without time delay in compaction, the dry densities of soil-A were increased by adding secondary additives such as lime, gypsum-plaster, flyash and sugar, on the other hand, those of soil-B were decreased except for the case of sugar. 5.The use of secondary additives like lime, gypsum-plaster, flyash and sugar could reduce the decrease of unconfined compressive strength due to delayed compaction. Among them, lime was the most effective. 6.From the above mentioned results, several recommendations could be suggested in order to compensate for losses of unconfined compressive strenght and densit v due to delayed compaction. They are a) to use coarse-grained granite soil rather than fined-grained one, b) to add about 3% excess compaction moisture content, c) to increase compactive effort to a certain degree, and d) to use secondary additives like line gypsum-plaster, flyash, and sugar in proper quantity depending on the soil types.

  • PDF

Effect of Office Building Remodeling Project Characteristics on the Occurrence of Schedule Delay Risk Factors (오피스 리모델링 프로젝트 특성에 따른 공기지연 리스크 발생 영향도)

  • Cho, Kyuman;Kim, Taehoon
    • Korean Journal of Construction Engineering and Management
    • /
    • v.19 no.5
    • /
    • pp.22-31
    • /
    • 2018
  • Due to the inherent risk factors of the remodeling projects, the remodeling project is failing frequently, and schedule delay are occurring in most remodeling projects. The risk factors that cause the delays in these remodeling projects are not common to all remodeling projects, and the likelihood of risk factors will be affected by the various characteristics of the project. In this context, based on the results of a reliable survey conducted on 29 construction managers who have performed remodeling projects, this research analyzes (1) "importance of each characteristic", which means how frequently the characteristics of the remodeling project affect the occurrence of risk factors, (2) "the likelihood of delay risk factors for remodeling projects", which assesses which risk factors are most likely to occur, and 3) "the level of effect of project characteristics that affects the occurrence of each risk factor." Through this study, the relationship between various characteristics of the office building remodeling projects and the delay risk factors was analyzed in various aspects, in further, it is expected that the owner planning the remodeling will be able to grasp the delay risk that is likely to occur according to the characteristics of the project in advance.

Quantification of Schedule Delay Risk of Rain via Text Mining of a Construction Log (공사일지의 텍스트 마이닝을 통한 우천 공기지연 리스크 정량화)

  • Park, Jongho;Cho, Mingeon;Eom, Sae Ho;Park, Sun-Kyu
    • KSCE Journal of Civil and Environmental Engineering Research
    • /
    • v.43 no.1
    • /
    • pp.109-117
    • /
    • 2023
  • Schedule delays present a major risk factor, as they can adversely affect construction projects, such as through increasing construction costs, claims from a client, and/or a decrease in construction quality due to trims to stages to catch up on lost time. Risk management has been conducted according to the importance and priority of schedule delay risk, but quantification of risk on the depth of schedule delay tends to be inadequate due to limitations in data collection. Therefore, this research used the BERT (Bidirectional Encoder Representations from Transformers) language model to convert the contents of aconstruction log, which comprised unstructured data, into WBS (Work Breakdown Structure)-based structured data, and to form a model of classification and quantification of risk. A process was applied to eight highway construction sites, and 75 cases of rain schedule delay risk were obtained from 8 out of 39 detailed work kinds. Through a K-S test, a significant probability distribution was derived for fourkinds of work, and the risk impact was compared. The process presented in this study can be used to derive various schedule delay risks in construction projects and to quantify their depth.

A Study on the Efficiency Improvement of Induction Motor with AC Voltage Controller (교류 전압 제어기에 의한 유도전동기의 효율 개선에 관한 연구)

  • Lee, Seung-Chul;Jeong, Seung-Gi
    • Proceedings of the KIEE Conference
    • /
    • 1991.11a
    • /
    • pp.137-139
    • /
    • 1991
  • This paper proposes a minimum power factor control for maximum efficiency operation of an induction motor, under low load condition. Minimum input or maximum efficiency operation is achived by properly adjusting the amplitude of the stator voltage, with the three phase AC voltage controller. Through the simulation, the relationships between the delay angle and input power under various load conditions are examined. Experimental results are also given, which show good coincidence with the simulation results.

  • PDF

Achalasia with Esophageal Carcinoma - A case report - (식도 아카라지아에 동반된 식도암;1레 보고)

  • 전영진
    • Journal of Chest Surgery
    • /
    • v.25 no.10
    • /
    • pp.1098-1101
    • /
    • 1992
  • Esophageal achalasia is a disease with characteristic disorder of esophageal motility. Also, this disorder is known to be predisposing factor of malignancy. Although the incidence of esophageal cancer in patients with achalasia varies widely, the incidence hed been reported from l% to 29% in many articles. It is known that delay in management of achalasia is believed to increase the risk of malignant degeneration. We experience a case with esophageal cancer complicating longstanding esophageal achalasia.

  • PDF