• Title/Summary/Keyword: TSV(Through Si Via)

Search Result 31, Processing Time 0.022 seconds

A Performance Analysis for Interconnections of 3D ICs with Frequency-Dependent TSV Model in S-parameter

  • Han, Ki Jin;Lim, Younghyun;Kim, Youngmin
    • JSTS:Journal of Semiconductor Technology and Science
    • /
    • v.14 no.5
    • /
    • pp.649-657
    • /
    • 2014
  • In this study, the effects of the frequency-dependent characteristics of through-silicon vias (TSVs) on the performance of 3D ICs are examined by evaluating a typical interconnection structure, which is composed of 32-nm CMOS inverter drivers and receivers connected through TSVs. The frequency-domain model of TSVs is extracted in S-parameter from a 3D electromagnetic (EM) method, where the dimensional variation effect of TSVs can be accurately considered for a comprehensive parameter sweep simulation. A parametric analysis shows that the propagation delay increases with the diameter and height of the TSVs but decreases with the pitch and liner thickness. We also investigate the crosstalk effect between TSVs by testing different signaling conditions. From the simulations, the worst signal integrity is observed when the signal experiences a simultaneously coupled transition in the opposite direction from the aggressor lines. Simulation results for nine-TSV bundles having regular and staggered patterns reveal that the proposed method can characterize TSV-based 3D interconnections of any dimensions and patterns.

Artificial Intelligence Semiconductor and Packaging Technology Trend (인공지능 반도체 및 패키징 기술 동향)

  • Hee Ju Kim;Jae Pil Jung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.30 no.3
    • /
    • pp.11-19
    • /
    • 2023
  • Recently with the rapid advancement of artificial intelligence (AI) technologies such as Chat GPT, AI semiconductors have become important. AI technologies require the ability to process large volumes of data quickly, as they perform tasks such as big data processing, deep learning, and algorithms. However, AI semiconductors encounter challenges with excessive power consumption and data bottlenecks during the processing of large-scale data. Thus, the latest packaging technologies are required for AI semiconductor computations. In this study, the authors have described packaging technologies applicable to AI semiconductors, including interposers, Through-Silicon-Via (TSV), bumping, Chiplet, and hybrid bonding. These technologies are expected to contribute to enhance the power efficiency and processing speed of AI semiconductors.

Effective Cu Filling Method to TSV for 3-dimensional Si Chip Stacking (3차원 Si칩 실장을 위한 효과적인 Cu 충전 방법)

  • Hong, Sung Chul;Jung, Do Hyun;Jung, Jae Pil;Kim, Wonjoong
    • Korean Journal of Metals and Materials
    • /
    • v.50 no.2
    • /
    • pp.152-158
    • /
    • 2012
  • The effect of current waveform on Cu filling into TSV (through-silicon via) and the bottom-up ratio of Cu were investigated for three dimensional (3D) Si chip stacking. The TSV was prepared on an Si wafer by DRIE (deep reactive ion etching); and its diameter and depth were 30 and $60{\mu}m$, respectively. $SiO_2$, Ti and Au layers were coated as functional layers on the via wall. The current waveform was varied like a pulse, PPR (periodic pulse reverse) and 3-step PPR. As experimental results, the bottom-up ratio by the pulsed current decreased with increasing current density, and showed a value of 0.38 on average. The bottom-up ratio by the PPR current showed a value of 1.4 at a current density of $-5.85mA/cm^2$, and a value of 0.91 on average. The bottom-up ratio by the 3-step PPR current increased from 1.73 to 5.88 with time. The Cu filling by the 3-step PPR demonstrated a typical bottom-up filling, and gave a sound filling in a short time.

Low Cost Via-Hole Filling Process Using Powder and Solder (파우더와 솔더를 이용한 저비용 비아홀 채움 공정)

  • Hong, Pyo-Hwan;Kong, Dae-Young;Nam, Jae-Woo;Lee, Jong-Hyun;Cho, Chan-Seob;Kim, Bonghwan
    • Journal of Sensor Science and Technology
    • /
    • v.22 no.2
    • /
    • pp.130-135
    • /
    • 2013
  • This study proposed a noble process to fabricate TSV (Through Silicon Via) structure which has lower cost, shorter production time, and more simple fabrication process than plating method. In order to produce the via holes, the Si wafer was etched by a DRIE (Deep Reactive Ion Etching) process. The via hole was $100{\mu}m$ in diameter and $400{\mu}m$ in depth. A dielectric layer of $SiO_2$ was formed by thermal oxidation on the front side wafer and via hole side wall. An adhesion layer of Ti and a seed layer of Au were deposited. Soldering process was applied to fill the via holes with solder paste and metal powder. When the solder paste was used as via hole metal line, sintering state and electrical properties were excellent. However, electrical connection was poor due to occurrence of many voids. In the case of metal powder, voids were reduced but sintering state and electrical properties were bad. We tried the via hole filling process by using mixing solder paste and metal powder. As a consequence, it was confirmed that mixing rate of solder paste (4) : metal powder (3) was excellent electrical characteristics.

Study of Cu filling characteristic on Silicon wafer via according to seed layer (Silicon wafer via 상의 기능성 박막층 종류에 따른 Cu filling 특성 연구)

  • Kim, In-Rak;Lee, Wang-Gu;Lee, Yeong-Gon;Jeong, Jae-Pil
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2009.10a
    • /
    • pp.171-172
    • /
    • 2009
  • TSV(through via silicon)를 이용한 Via의 Cu 충전에서 Seed 층의 역할은 전류의 흐름을 가능하게 하는 중요한 역할을 하고 있다. Via에 각각 Ti/Au, Ti/Cu를 증착한 후 Ti/Cu가 Ti/Au를 대체 할 수 있는지를 알아보기 위해 먼저 실리콘 웨이퍼에 via를 형성하고, 형성된 via에 기능성 박막층으로 절연층(SiO2) 및 시드층을 형성하였다. 전해도금을 이용하여 Cu를 충전한 결과 Ti/Au 및 Ti/Cu를 증착한 두 시편 모두 via와 seed층 접합면에 박리 등의 결함이 없었고, via 내부 또한 void나 seam 등이 관찰되지 않고 우수하게 충전된 것을 확인할 수 있었다.

  • PDF

Effect of Coolants and Metal Bumps on the heat Removal of Liquid Cooled Microchannel System (액랭식 마이크로채널 시스템 내 냉매와 범프의 열 제거 효과에 대한 연구)

  • Won, Yonghyun;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.24 no.2
    • /
    • pp.61-67
    • /
    • 2017
  • As transistor density increases rapidly, a heat flux from IC device rises at fast rate. Thermal issues raised by high heat flux cause IC's performance and reliability problems. To solve these thermal management problems, the conventional cooling methods of IC devices were reached their thermal limit. As a result, alternative cooling methods such as liquid heat pipe, thermoelectric cooler, thermal Si via and etc. are currently emerging. In this paper microchannel liquid cooling system with TSV was investigated. The effects of 2 coolants (DI water and ethylene glycol 70 wt%) and 3 metal bumps (Ag, Cu, Cr/Au/Cu) on cooling performance were studied, and the total heat flux of various coolant and bump cases were compared. Surface temperature of liquid cooling system was measured by infrared microscopy, and liquid flowing through microchannel was observed by fluorescence microscope. In the case of ethylene glycol 70 wt% at $200^{\circ}C$ heating temperature, the total heat flux was $2.42W/cm^2$ and most of total heat flux was from liquid cooling effect.

Cu Electroplating on the Si Wafer and Reliability Assessment of Low Alpha Solder Bump for 3-D Packaging (3차원 실장용 실리콘 웨이퍼 Cu 전해도금 및 로우알파솔더 범프의 신뢰성 평가)

  • Jung, Do Hyun;Lee, Joon Hyung;Jung, Jae Pil
    • Proceedings of the Korean Institute of Surface Engineering Conference
    • /
    • 2012.11a
    • /
    • pp.123-123
    • /
    • 2012
  • 최근 연구되고 있는 TSV(Through Silicon Via) 기술은 Si 웨이퍼 상에 직접 전기적 연결 통로인 관통홀을 형성하는 방법으로 칩간 연결거리를 최소화 할 수 있으며, 부피의 감소, 연결부 단축에 따른 빠른 신호 전달을 가능하게 한다. 이러한 TSV 기술은 최근의 초경량화와 고집적화로 대표되는 전자제품의 요구를 만족시킬 수 있는 차세대 실장법으로 기대를 모으고 있다. 한편, 납땜 재료의 주 원료인 주석은 주로 반도체 소자의 제조, 반도체 칩과 기판의 접합 및 플립 칩 (Flip Chip) 제조시의 범프 형성 등 반도체용 배선재료에 널리 사용되고 있다. 최근에는 납의 유해성 때문에 대부분의 전자제품은 무연솔더를 이용하여 제조되고 있지만, 주석을 이용한 반도체 소자가 고밀도화, 고 용량화 및 미세피치(Fine Pitch)화 되고 있기 때문에, 반도체 칩의 근방에 배치된 주석으로부터 많은 알파 방사선이 방출되어 메모리 셀의 정보를 유실시키는 소프트 에러 (Soft Error)가 발생되는 위험이 많아지고 있다. 이로 인해, 반도체 소자 및 납땜 재료의 주 원료인 주석의 고순도화가 요구되고 있으며, 특히 알파 방사선의 방출이 낮은 로우알파솔더 (Low Alpha Solder)가 요구되고 있다. 이에 따라 본 연구는 4인치 실리콘 웨이퍼상에 직경 $60{\mu}m$, 깊이 $120{\mu}m$의 비아홀을 형성하고, 비아 홀 내에 기능 박막증착 및 전해도금을 이용하여 전도성 물질인 Cu를 충전한 후 직경 $80{\mu}m$의 로우알파 Sn-1.0Ag-0.5Cu 솔더를 접합 한 후, 접합부 신뢰성 평가를 수행을 위해 고속 전단시험을 실시하였다. 비아 홀 내 미세구조와 범프의 형상 및 전단시험 후 파괴모드의 분석은 FE-SEM (Field Emission Scanning Electron Microscope)을 이용하여 관찰하였다. 연구 결과 비아의 입구 막힘이나 보이드(Void)와 같은 결함 없이 Cu를 충전하였으며, 고속전단의 경우는 전단 속도가 증가할수록 취성파괴가 증가하는 경향을 보였다. 본 연구를 통하여 전해도금을 이용한 비아 홀 내 Cu의 고속 충전 및 로우알파 솔더 볼의 범프 형성이 가능하였으며, 이로 인한 전자제품의 소프트에러의 감소가 기대된다.

  • PDF

Development of Cu CMP process for Cu-to-Cu wafer stacking (Cu-to-Cu 웨이퍼 적층을 위한 Cu CMP 특성 분석)

  • Song, Inhyeop;Lee, Minjae;Kim, Sungdong;Kim, Sarah Eunkyung
    • Journal of the Microelectronics and Packaging Society
    • /
    • v.20 no.4
    • /
    • pp.81-85
    • /
    • 2013
  • Wafer stacking technology becomes more important for the next generation IC technology. It requires new process development such as TSV, wafer bonding, and wafer thinning and also needs to resolve wafer warpage, power delivery, and thermo-mechanical reliability for high volume manufacturing. In this study, Cu CMP which is the key process for wafer bonding has been studied using Cu CMP and oxide CMP processes. Wafer samples were fabricated on 8" Si wafer using a damascene process. Cu dishing after Cu CMP and oxide CMP was $180{\AA}$ in average and the total height from wafer surface to bump surface was approximately $2000{\AA}$.

Micro-bump Joining Technology for 3 Dimensional Chip Stacking (반도체 3차원 칩 적층을 위한 미세 범프 조이닝 기술)

  • Ko, Young-Ki;Ko, Yong-Ho;Lee, Chang-Woo
    • Journal of the Korean Society for Precision Engineering
    • /
    • v.31 no.10
    • /
    • pp.865-871
    • /
    • 2014
  • Paradigm shift to 3-D chip stacking in electronic packaging has induced a lot of integration challenges due to the reduction in wafer thickness and pitch size. This study presents a hybrid bonding technology by self-alignment effect in order to improve the flip chip bonding accuracy with ultra-thin wafer. Optimization of Cu pillar bump formation and evaluation of various factors on self-alignment effect was performed. As a result, highly-improved bonding accuracy of thin wafer with a $50{\mu}m$ of thickness was achieved without solder bridging or bump misalignment by applying reflow process after thermo-compression bonding process. Reflow process caused the inherently-misaligned micro-bump to be aligned due to the interface tension between Si die and solder bump. Control of solder bump volume with respect to the chip dimension was the critical factor for self-alignment effect. This study indicated that bump design for 3D packaging could be tuned for the improvement of micro-bonding quality.

Optimization of Etching Profile in Deep-Reactive-Ion Etching for MEMS Processes of Sensors

  • Yang, Chung Mo;Kim, Hee Yeoun;Park, Jae Hong
    • Journal of Sensor Science and Technology
    • /
    • v.24 no.1
    • /
    • pp.10-14
    • /
    • 2015
  • This paper reports the results of a study on the optimization of the etching profile, which is an important factor in deep-reactive-ion etching (DRIE), i.e., dry etching. Dry etching is the key processing step necessary for the development of the Internet of Things (IoT) and various microelectromechanical sensors (MEMS). Large-area etching (open area > 20%) under a high-frequency (HF) condition with nonoptimized processing parameters results in damage to the etched sidewall. Therefore, in this study, optimization was performed under a low-frequency (LF) condition. The HF method, which is typically used for through-silicon via (TSV) technology, applies a high etch rate and cannot be easily adapted to processes sensitive to sidewall damage. The optimal etching profile was determined by controlling various parameters for the DRIE of a large Si wafer area (open area > 20%). The optimal processing condition was derived after establishing the correlations of etch rate, uniformity, and sidewall damage on a 6-in Si wafer to the parameters of coil power, run pressure, platen power for passivation etching, and $SF_6$ gas flow rate. The processing-parameter-dependent results of the experiments performed for optimization of the etching profile in terms of etch rate, uniformity, and sidewall damage in the case of large Si area etching can be summarized as follows. When LF is applied, the platen power, coil power, and $SF_6$ should be low, whereas the run pressure has little effect on the etching performance. Under the optimal LF condition of 380 Hz, the platen power, coil power, and $SF_6$ were set at 115W, 3500W, and 700 sccm, respectively. In addition, the aforementioned standard recipe was applied as follows: run pressure of 4 Pa, $C_4F_8$ content of 400 sccm, and a gas exchange interval of $SF_6/C_4F_8=2s/3s$.