• 제목/요약/키워드: Polishing pad

검색결과 183건 처리시간 0.03초

화학-기계적 연마 공정의 물질제거 메커니즘 해석 Part I: 연성 통합 모델링 (An Analysis on the Material Removal Mechanism of Chemical-Mechanical Polishing Process Part I: Coupled Integrated Material Removal Modeling)

  • 석종원;오승희;석종혁
    • 반도체디스플레이기술학회지
    • /
    • 제6권2호
    • /
    • pp.35-40
    • /
    • 2007
  • An integrated material removal model considering thermal, chemical and contact mechanical effects in CMP process is proposed. These effects are highly coupled together in the current modeling effort. The contact mechanics is employed in the model incorporated with the heat transfer and chemical reaction mechanisms. The mechanical abrasion actions happening due to the mechanical contacts between the wafer and abrasive particles in the slurry and between the wafer and pad asperities cause friction and consequently generate heats, which mainly acts as the heat source accelerating chemical reaction(s) between the wafer and slurry chemical(s). The proposed model may be a help in understanding multi-physical interactions in CMP process occurring among the wafer, pad and various consumables such as slurry.

  • PDF

화학기계적 연마 프로세스의 동적 열전달 모델링 연구 (A Dynamic Thermal Modeling of Chemical Mechanical Polishing Process)

  • 석종원
    • 대한기계학회논문집A
    • /
    • 제28권5호
    • /
    • pp.617-623
    • /
    • 2004
  • This paper describes a dynamic thermal model for a representative dual axis rotational Chemical-Mechanical Polishing (CMP) tool. The model is one-dimensional but configured in the two-dimensional space and consists of three sub-models (pad, wafer and slurry fluid), with the first and the second that are time-dependent heat conduction-convection models with linear stationary (wafer) and nonlinear moving (pad) boundary conditions, and the last one that is a heat transport-convection model (slurry fluid). The modeling approach is validated by comparing the simulation results with available experimental data.

CMP 연마입자의 마찰력과 연마율에 관한 영향 (Effect of Abrasive Particles on Frictional Force and Abrasion in Chemical Mechanical Polishing(CMP))

  • 김구연;김형재;박범영;이현섭;박기현;정해도
    • 한국전기전자재료학회논문지
    • /
    • 제17권10호
    • /
    • pp.1049-1055
    • /
    • 2004
  • Chemical Mechanical Polishing (CMP) is referred to as a three body tribological system, because it includes two solids in relative motion and the CMP slurry. On the assumption that the abrasives between the pad and the wafer could be a major reason not only for the friction force but also for material removal during polishing, the friction force generated during CMP process was investigated with the change of abrasive size and concentration of CMP slurry. The threshold point of average coefficient of friction (COF) with increase in abrasives concentration during interlayer dielectric (ILD) CMP was found experimentally and verified mathematically based on contact mechanics. The predictable models, Mode I (wafer is in contact with abrasives and pad) and Mode II (wafer is in contact with abrasives only), were proposed and used to explain the threshold point. The average COF value increased in the low abrasives concentration region which might be explained by Mode I. In contrast the average COF value decreased at high abrasives concentration which might be regarded to as Mode II. The threshold point observed seemed to be due to the transition from Mode I to Mode II. The tendency of threshold point with the variation of abrasive size was studied. The increase of particle radius could cause contact status to reach transition area faster. The correlation between COF and material removal rate was also investigated from the tribological and energetic point of view. Due to the energy loss by vibration of polishing equipment, COF value is not proportional to the material removal rate in this experiment.

CMP에서의 스틱-슬립 마찰특성에 관한 연구 (A Study on the Characteristics of Stick-slip Friction in CMP)

  • 이현섭;박범영;서헌덕;박기현;정해도
    • 한국전기전자재료학회논문지
    • /
    • 제18권4호
    • /
    • pp.313-320
    • /
    • 2005
  • Stick-slip friction is one of the material removal mechanisms in tribology. It occurs when the static friction force is larger than the dynamic friction force, and make the friction curve fluctuated. In the friction monitoring of chemical mechanical polishing(CMP), the friction force also vibrates just as stick-slip friction. In this paper, an attempt to show the similarity between stick-slip friction and the friction of CMP was conducted. The prepared hard pa(IC1000/Suba400 stacked/sup TM/) and soft pad(Suba400/sup TM/) were tested with SiO₂ slurry. The friction force was measured by piezoelectric sensor. According to this experiment, it was shown that as the head and table velocity became faster, the stick-slip time shortened because of the change of real contact area. And, the gradient of stick-slip period as a function of head and table speed in soft pad was more precipitous than that of hard one. From these results, it seems that the fluctuating friction force in CMP is stick-slip friction caused by viscoelastic behavior of the pad and the change of real contact area.

텅스텐 CMP에서 산화제 영향에 관한 연구 (A Study on Oxidizer Effects in Tungsten CMP)

  • 박범영;이현섭;박기현;정석훈;서헌덕;정해도;김호윤;김형재
    • 한국전기전자재료학회논문지
    • /
    • 제18권9호
    • /
    • pp.787-792
    • /
    • 2005
  • Chemical mechanical polishing(CMP) has become the process of choice for modem semiconductor devices to achieve both local and global planarization. CMP is a complex process which depends on numerous variables such as macro, micro and nano-geometry of pad, relative velocity between pad and wafer stiffness and dampening characteristics of pad, slurry, pH, chemical components of slurry, abrasive concentration, abrasive size, abrasive shape, etc. Especially, an oxidizer of chemical components is very important remove a target material in metal CMP process. This paper introduces the effect of oxidizer such as $H_2O_2,\;Fe(NO_3)_3\;and\;KIO_3$ in slurry for tungsten which is used in via or/and plug. Finally the duplex reacting mechanism of $oxidizer(H_2O_2)$ through adding the $catalyst(Fe(NO_3)_3)$ could acquire the sufficient removal rate in tungsten CMP.

CMP 공정에서 슬러리 필터의 효율 개선에 관한 연구 (A Study on Improvement of Slurry Filter Efficiency in the CMP Process)

  • 박성우;서용진;김상용;이우선;김창일;장의구
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 춘계학술대회 논문집 반도체재료
    • /
    • pp.34-37
    • /
    • 2001
  • As the integrated circuit device shrinks to smaller dimensions, chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric (IMD) layer with free-defect. However, as the inter-metal dielectrics (IMD) layer gets thinner, micro-scratches are becoming as major defects. Micro-scratches are generated by agglomerated slurry, solidified and attached slurry in pipe line of slurry supply system. To prevent agglomerated slurry particle from inflow, we installed 0.5${\mu}m$ POU (point of use) filter, which is depth-type filter and has 80% filtering efficiency for the $1.0{\mu}m$ size particle. In this paper, we studied the relationship between defect generation and pad count to understand the exact efficiency of the slurry filtration, and to find out the appropriate pad usage. Our preliminary results showed that it is impossible to prevent defect-causing particles perfectly through the depth-type filter. Thus, we suggest that it is necessary to optimize the flow rate of slurry to overcome depth type filters weak-point, and to install the high spray of de-ionized Water (DIW) with high pressure.

  • PDF

상대속도를 고려한 CMP 공정에서의 연마제거율 모델 (MRR model for the CMP Process Considering Relative Velocity)

  • 김기현;오수익;전병희
    • 소성∙가공
    • /
    • 제13권3호
    • /
    • pp.225-229
    • /
    • 2004
  • Chemical Mechanical Polishing(CMP) process becomes one of the most important semiconductor processes. But the basic mechanism of CMP still does not established. Slurry fluid dynamics that there is a slurry film between a wafer and a pad and contact mechanics that a wafer and a pad contact directly are the two main studies for CMP. This paper based on the latter one, especially on the abrasion wear model. Material Removal Rate(MRR) is calculated using the trajectory length of every point on a wafer during the process time. Both the rotational velocity of a wafer and a pad and the wafer oscillation velocity which has omitted in other studies are considered. For the purpose of the verification of our simulation, we used the experimental results of S.H.Li et al. The simulation results show that the tendency of the calculated MRR using the relative velocity is very similar to the experimental results and that the oscillation effect on MRR at a real CMP condition is lower than 1.5%, which is higher than the relative velocity effect of wafer, and that the velocity factor. not the velocity itself, should be taken into consideration in the CMP wear model.

POU 슬러리 필터와 탈이온수의 고분사법에 의한 패드수명의 개선 (Improvement of Pad Lifetime using POU (Point of Use) Slurry Filter and High Spray Method of De-Ionized Water)

  • 박성우;김상용;서용진
    • 한국전기전자재료학회논문지
    • /
    • 제14권9호
    • /
    • pp.707-713
    • /
    • 2001
  • As the integrated circuit device shrinks to smaller dimensions, chemical mechanical polishing (CMP) process was requirdfo the global planarization of inter-metal dielectric (IMD) layer with free-defect. However, as the IMD layer gest thinner, micro-scratches are becoming as major defects. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Micro-scratches are generated by agglomerated slurry, solidified and attached slurry in pipe line of slurry supply system. To prevent agglomerated slurry particle from inflow, we installed 0.5${\mu}{\textrm}{m}$ point of use (POU) filter, which is depth-type filter and has 80% filtering efficiency for the 1.0${\mu}{\textrm}{m}$ size particle. In this paper, we studied the relationship between defect generation and polished wafer counts to understand the exact efficiency fo the slurry filteration, and to find out the appropriate pad usage. Our experimental results showed that it sis impossible to prevent defect-causing particles perfectly through the depth-type filter. Thus, we suggest that it is necessary to optimize the slurry flow rate, and to install the high spray bar of de-ionized water (DIW) with high pressure, to overcome the weak-point of depth type filter.

  • PDF

Numerical Analysis of a Slurry Flow on a Rotating CMP Pad Using a Two-phase Flow Model

  • Nagayama, Katsuya;Sakai, Tommi;Kimura, Keiichi;Tanaka, Kazuhiro
    • International Journal of Precision Engineering and Manufacturing
    • /
    • 제9권2호
    • /
    • pp.8-10
    • /
    • 2008
  • Chemical mechanical polishing (CMP) is a very precise planarization technique where a wafer is polished by a slurry-coated pad. A slurry is dropped on the rotating pad surface and is supplied between the wafer and the pad. This research aims at reducing the slurry consumption and removing waste particles quickly from the wafer. To study the roles of grooves, slurry flows were simulated using the volume of fluid method (two-phase model for air and slurry) for pads with no grooves, and for pads with circular grooves.

Utilizing Advanced Pad Conditioning and Pad Motion in WCMP

  • Kim, Sang-Yong;Chung, Hun-Sang;Park, Min-Woo;Kim, Chang-Il;Chang, Eui-Goo
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2001년도 추계학술대회 논문집 Vol.14 No.1
    • /
    • pp.171-175
    • /
    • 2001
  • Chemical mechanical polishing(CMP) process has been widely used to planarize dielectrics and metal, which can apply to employed in integrated circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of free-defects in inter level dielectrics and metal. Especially, defects like (micro-scratch) lead to severe circuit failure, and affects yield. Current conditioning method - bladder type, orbital pad motion- usually provides unsuitable pad profile during ex-situ conditioning near the end of pad life. Since much of the pad wear occurs by the mechanism of bladder type conditioning and its orbital motion without rotation, we need to implement new ex-situ conditioner which can prevent abnormal regional force on pad caused by bladder-type and also need to rotate the pad during conditioning. Another important study of ADPC is related to the orbital scratch of which source is assumed as diamond grit dropped from the strip during ex-situ conditioning. Scratch from diamond grit damaged wafer severely so usually scraped. Figure 1 shows the typical shape of scratch damaged from diamond. e suspected that intensive forces to the edge area of bladder type stripper accelerated the drop of Diamond grit during conditioning. so new designed Flat stripper was introduced.

  • PDF