• 제목/요약/키워드: Optical emission spectroscopy

검색결과 465건 처리시간 0.028초

Optical Emission Studies of a Plume Produced by Laser Ablation of a Graphite Target in a Nitrogen Atmosphere

  • Park, Hye-Sun;Nam, Sang-Hwan;Park, Seung-Min
    • Bulletin of the Korean Chemical Society
    • /
    • 제25권5호
    • /
    • pp.620-624
    • /
    • 2004
  • Optical emission studies were performed to investigate thermal and dynamical properties of a plume produced by laser ablation of a graphite target in a nitrogen atmosphere. Experimental spectra of $C_2(d^3{\Pi}_g{\to}a^3{\Pi}_u$, ${\Delta}_V$=1) and CN ($B^2{\Sigma}^+{\to}X^2{\Sigma}^+,{\Delta}_V=0)$ were simulated to obtain the vibrational and rotational temperatures of the electronically excited species at various laser fluences and distances from the target. The spectroscopic temperatures of both molecules were found to be nearly independent of the laser fluence. The temperature of CN molecules was peaked in the middle of the plume while that of $C_2$decreased with increase in the distance. At a given distance, the temperature of CN molecules was clearly higher than that of $C_2$.

평판형 고밀도 유도결합 건식 식각시 Optical Emission Spectroscopy를 이용한 $BCl_3$$BCl_3$/Ar 플라즈마의 분석 (Diagnosis of $BCl_3$ and $BCl_3$/Ar Plasmas with an Optical Emission Spectroscopy during High Density Planar Inductively Coupled Dry Etching)

  • Cho, Guan-Sik;Wantae Lim;Inkyoo Baek;Seungryul Yoo;Park, Hojin;Lee, Jewon;Kuksan Cho;S. J. Pearton
    • 한국재료학회:학술대회논문집
    • /
    • 한국재료학회 2003년도 춘계학술발표강연 및 논문개요집
    • /
    • pp.88-88
    • /
    • 2003
  • Optical Emission Spectroscopy(OES) is a very important technology for real-time monitoring of plasma in a reactor during dry etching process. OES technology is non-invasive to the plasma process. It can be used to collect information on excitation and recombination between electrons and ions in the plasma. It also helps easily diagnose plasma intensity and monitor end-point during plasma etch processing. We studied high density planar inductively coupled BCl$_3$ and BCl$_3$/Ar plasma with an OES as a function of processing pressure, RIE chuck power, ICP source power and gas composition. The scan range of wavelength used was from 400 nm to 1000 nm. It was found that OES peak Intensity was a strong function of ICP source power and processing pressure, while it was almost independent on RIE chuck power in BCl$_3$-based planar ICP processes. It was also worthwhile to note that increase of processing pressure reduced negatively self-induced dc bias. The case was reverse for RIE chuck power. ICP power and gas composition hardly had influence on do bias. We will report OES results of high density planar inductively coupled BCl$_3$ and BCl$_3$/Ar Plasma in detail in this presentation.

  • PDF

플라즈마 식각 공정에서 의사결정 알고리즘을 이용한 실시간 식각 종료점 검출 (Real Time Endpoint Detection in Plasma Etching Using Decision Making Algorithm)

  • 노호택;박영국;한승수
    • 전기전자학회논문지
    • /
    • 제20권1호
    • /
    • pp.9-15
    • /
    • 2016
  • 플라즈마 식각 공정에서 식각 종료점 검출은 중요한 요소이다. Optical Emission Spectroscopy (OES) 는 플라즈마 반응을 분석하는데 사용한다. 그리고 Plasma Impedance Monitoring (PIM) 은 플라즈마 공정 중에 RF power에 의한 voltage, current, power, impedance를 분석하는데 사용한다. 본 논문에서는 새로 제안하는 의사결정 알고리즘을 이용하여 single layer 산화막 플라즈마 식각에서 식각 종료점 검출의 성능을 향상시키는 것을 제안한다. 식각 종료점 검출의 정확도를 높이기 위해 OES 데이터와 PIM 데이터들을 의사결정 알고리즘에 모두 적용하여 사용한다. 제안된 방법은 SiOx 플라즈마 식각에서 식각 종료점을 정확하게 검출한다.

화학기상증착 진공공정의 실시간 진단연구 (The Study on In-situ Diagnosis of Chemical Vapor Deposition Processes)

  • 전기문;신재수;임성규;박상현;강병구;윤진욱;윤주영;신용현;강상우
    • 한국진공학회지
    • /
    • 제20권2호
    • /
    • pp.86-92
    • /
    • 2011
  • 본 연구에서는 새롭게 개발된 센서인 in-situ particle monitor (ISPM)와 기존센서의 기능을 업그레이드 한 센서인 self-plasma optical emission spectroscopy (SPOES)를 이용해 화학기상증착 진공공정을 진단하였다. 본 연구에서 사용된 증착공정 장비는 silane 가스를 이용한 silicon plasma enhanced chemical vapor deposition과 borophosphosilicate glass 증착장비이다. 두 장비의 증착 또는 클리닝 조건에서의 배출되는 오염입자와 배기가스를 개발된 센서를 이용해 공정상태를 실시간으로 진단하는 것과 개발된 센서의 센싱 능력을 검증하고자 하는 목적으로 연구가 진행되었다. 개발된 센서는 장비 배기구 설치되었으며, 공정압력, 유량, 플라즈마 파워 등의 공정변수 변화에 따른 오염입자 크기 및 분포와 배기 부산물의 변화를 측정하고, 측정 결과의 상호 연관성을 분석하였다.

OES 방법을 이용한 고에너지 금속 분말 점화용 스팀 플라즈마 특성에 관한 실험적 고찰 (Experimental Investigation of Steam Plasma Characteristics for High Energy Density Metal Powder Ignition Using Optical Emission Spectroscopy Method)

  • 이상협;고태호;윤웅섭
    • 한국추진공학회:학술대회논문집
    • /
    • 한국추진공학회 2012년도 제38회 춘계학술대회논문집
    • /
    • pp.545-550
    • /
    • 2012
  • 고에너지 금속 분말은 높은 용융점을 가진 산화피막의 점화방해 효과로 인해 점화가 용이하지 않다. 따라서 점화를 위해서는 단시간에 높은 온도의 열적 공간을 형성할 수 있는 점화원이 필요하며 스팀 플라즈마 점화원은 탄화수소 계열의 점화원, 수소-산소 점화원, 레이져 점화원과 다르게 짧은 시간에 안정적으로 5,000 K 이상의 열적 공간을 형성할 수 있다. 또한 스팀을 작동가스로 사용하므로 친환경적이며 경제적이다. 따라서 본 연구는 스팀 플라즈마 점화기를 연소 시스템에 적용하기 위한 기초 연구로서 방출 분광법을 사용하여 플라즈마의 온도 분포 및 화학종을 분석하였으며, 연소시스템에 적용하여 금속 분말의 점화를 가시적으로 확인하였다.

  • PDF

저주파 및 고주파 구동 대기압 플라즈마 젯의 특성 비교

  • 권양원;백은정;엄인섭;조혜민;김선자;정태훈
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2016년도 제50회 동계 정기학술대회 초록집
    • /
    • pp.194.2-194.2
    • /
    • 2016
  • 저주파 (수십 kHz)와 고주파 (13.56 MHz)로 구동되는 대기압 플라즈마 젯을 발생시키고, 인가전압 (혹은 인가전력)과 기체 유량에 따른 대기압 플라즈마의 특성을 비교하였다. 고주파에서 발생된 플라즈마는 저주파의 경우보다 안정적이었으며, 인가전압 (혹은 인가전력)이 증가함에 따라 플라즈마 기체온도는 상승하였고, 고주파 젯의 기체온도는 저주파 젯 보다 높았으나 330 K이하인 것을 확인하였다. Optical Emission Spectroscopy (OES)를 이용하여 저주파와 고주파의 광 방출 특성을 측정하였다. 저주파에서는 $N_2{^+}$ (391.4 nm)의 intensity 증가가 두드러지게 나타났지만 고주파 젯에서는 $N_2$, $N_2{^+}$의 intensity는 감소하였으며, OH, NO, $H_{\alpha}$, O와 같은 활성 산소 종 (Reactive Oxygen Species)이 저주파 젯 보다 높게 측정되었다. Boltzmann plot method를 이용한 분석을 통해 저주파와 고주파 영역에서의 플라즈마 전자 여기 온도를 측정하였다. 또한 자외선 흡수분광법을 이용하여 플라즈마-액체 계면에서의 OH이 입자밀도를 측정하여 OES방법으로 측정한 OH 밀도와 비교하였다. 그리고 화학적 측정법 (terephtalic acid solution)을 이용하여 액체 내의 OH의 농도를 측정하였다.

  • PDF

OES를 이용한 SBT 박막의 식각 특성 연구 (The Study of Etching Characteristic in $SrBi_2$$Ta_2$$O_9$ Thin Film by Optical Emission Spectroscopy)

  • 신성욱;김창일;장의구
    • 한국전기전자재료학회논문지
    • /
    • 제14권3호
    • /
    • pp.185-189
    • /
    • 2001
  • In this paper, since the research on the etching of SrBi$_2$Ta$_2$$O_{9}$(SBT) thin film was few (specially Cl$_2$-base) we had studied the surface reaction of SBT thin films. We have used the OES(optical emission spectroscopy) in high density plasma etching as a function of RF power, dc bias voltage, and Cl$_2$/(Cl$_2$+Ar) gas mixing ratio. It had been found that the etch rate of SBT thin films appeared to be more affected by the physical sputtering between Ar ions and surface of the SBT compared to the chemical reaction. The change of Cl radical density that was measured by the OES as a function of gas combination showed the change of the etch rate of SBT thin films. Therefore, the chemical reactions between Cl radical in plasma and components of the SBT enhanced to increase the etch rates SBT thin films. These results were confirmed by XPS(x-ray photoelectron spectroscopy) analysis.s.

  • PDF

Toward Efficacy Improvement in a PDP Discharge Cell from Structural Considerations

  • Tachibana, Kunihide
    • 한국정보디스플레이학회:학술대회논문집
    • /
    • 한국정보디스플레이학회 2009년도 9th International Meeting on Information Display
    • /
    • pp.20-23
    • /
    • 2009
  • The efficacy improvement issues in a unit discharge cell have been approached from the structural considerations. The tested cell designs include (a) a coplanar type with annular auxiliary electrode buried in barrier ribs, (b) a coplanar type with split auxiliary electrodes also burred in barrier ribs and (c) a coaxial type with a floating electrode stacked on the base electrode. From spatiotemporally resolved optical images of near-IR emission taken by a gated-ICCD camera and relative VUV emission intensity estimated by laser absorption spectroscopy, the differences in the discharge and light emission performances of those three cell types have been compared and discussed.

  • PDF

Distant Quasars: Black hole mass growth and dust emission

  • Jun, Hyunsung D.
    • 천문학회보
    • /
    • 제40권1호
    • /
    • pp.43.2-43.2
    • /
    • 2015
  • The massive limit of black holes (BHs) is observed as present day ten billion solar masses. We search for observational signatures of BHs that become extremely massive (EMBHs, 1-10 billion solar masses). I will report on the evolution of active galactic nuclei (AGNs) through the growth of BH mass and their dust emission strength. First, we measured 26 EMBH masses of quasars at 1

  • PDF

CF4/O2 Gas Chemistry에 의해 식각된 Ru 박막의 표면 반응 (Surface Reaction of Ru Thin Films Etched in CF 4/O2 Gas Chemistry)

  • 임규태;김동표;김경태;김창일;최장현;송준태
    • 한국전기전자재료학회논문지
    • /
    • 제15권12호
    • /
    • pp.1016-1020
    • /
    • 2002
  • Ru thin films were etched using CF/$_4$O$_2$ plasma in an ICP (inductively coupled plasma etching) system. The maximum etch rate of Ru thin films was 168 nm/min at a CF$_4$/O$_2$ gas mixing ratio of 10 %. The selectivity of SiO$_2$ over Ru was 1.3. From the OES (optical emission spectroscopy) analysis, the optical emission intensity of the O radical had a maximum value at 10% CF$_4$ gas concentration and drcrease with further addition of CF4 gas, but etch slope was enhanced. From XPS (x-ray photoelectron spectroscopy) analysis, the surface of the etched Ru thin film in CF$_4$/O$_2$ chemistry shows Ru-F bonds by the chemical reaction of Ru and F. RuF$_{x}$ compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. From a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89$^{\circ}$.>.