• 제목/요약/키워드: Metal interconnect

검색결과 71건 처리시간 0.026초

Performance Comparison of Two Types of Silicon Avalanche Photodetectors Based on N-well/P-substrate and P+/N-well Junctions Fabricated With Standard CMOS Technology

  • Lee, Myung-Jae;Choi, Woo-Young
    • Journal of the Optical Society of Korea
    • /
    • 제15권1호
    • /
    • pp.1-3
    • /
    • 2011
  • We characterize and analyze silicon avalanche photodetectors (APDs) fabricated with standard complementary metal-oxide-semiconductor (CMOS) technology. Current characteristics, responsivity, avalanche gain, and photodetection bandwidth of CMOS-APDs based on two types of PN junctions, N-well/P-substrate and $P^+$/N-well junctions, are compared and analyzed. It is demonstrated that the CMOS-APD using the $P^+$/N-well junction has higher responsivity as well as higher photodetection bandwidth than N-well/P-substrate. In addition, the important factors influencing CMOS-APD performance are clarified from this investigation.

Polarization Insensitive CWDM Optical Demultiplexer Based on Polarization Splitter-rotator and Delayed Interferometric Optical Filter

  • Seok-Hwan Jeong;Heuk Park;Joon Ki Lee
    • Current Optics and Photonics
    • /
    • 제7권2호
    • /
    • pp.166-175
    • /
    • 2023
  • We theoretically analyze and experimentally demonstrate a polarization-diversified four-channel optical demultiplexer (DeMUX) comprising a hybrid mode conversion-type polarization splitter rotator (PSR) and delayed Mach-Zehnder interferometer optical DeMUX for use in coarse wavelength division multiplexing (CWDM)-based optical interconnect applications. The Si wire-based device fabricated by a complementary metal-oxide semiconductor-compatible process exhibited nearly the same filter spectral response irrespective of the input polarization state under the PSR. The device had an extremely low insertion loss of <1.0 dB, polarization-dependent loss of <1.0 dB, and interchannel imbalance of <0.5 dB, suppressing unwanted wavelength and polarization crosstalk from neighboring channels of <-20 dB at each peak transmission channel grid.

음극지지형 단전지를 사용한 소형 SOFC 스택의 제조 및 출력특성 (Fabrication of Small SOFC Stack Based on Anode-Supported Unit Cells and Its Power Generating Characteristics)

  • 정화영;김우식;최선희;김주선;이해원;고행진;이기춘;이종호
    • 한국세라믹학회지
    • /
    • 제41권10호
    • /
    • pp.777-782
    • /
    • 2004
  • 액상응결 공정법과 일축가압성형법으로 제조된 기판위에 전해질과 양극층을 스크린 인쇄법으로 구성한 후 열처리함으로써 최종크기가 $5\times5cm^2$인 SOFC 단전지를 제조하였다. 본 연구에서는 이들 단전지와 인코넬 합금으로 제조된 접속자 그리고 가스켓형의 밀봉재를 이용하여 스택을 구성하였다. 본 연구에 사용된 스택은 연료가스와 산화가스가 교차되는 형태의 가스채널을 가지며 가스매니폴드가 내부에 구성되어 있는 형태로 설계되었다. 제작된 3단 스택의 성능을 평가해 본격과 15W 정도의 최고출력을 나타내었는데 이는 단전지 출력성능으로부터 예측된 최고출력치의 $50\%$ 정도에 해당되는 출력이었다. 본 연구에서는 이러한 스택성능에 영향을 주는 조정인자들과 스택디자인 인자들에 대한 분석을 수행하였다.

W-slurry의 산화제 첨가량에 따른 Cu-CMP특성 (The Cu-CMP's features regarding the additional volume of oxidizer to W-Slurry)

  • 이우선;최권우;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 하계학술대회 논문집 Vol.4 No.1
    • /
    • pp.370-373
    • /
    • 2003
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing (CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical Planarization(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commerical slurries pads, and post-CMP cleaning alternatives are discuess, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper depostion is a mature process from a historical point of view, but a very young process from a CMP persperspective. While copper electrodepostion has been used and stuidied for dacades, its application to Cu damascene wafer processing is only now ganing complete accptance in the semiconductor industry. The polishing mechanism of Cu CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper pasivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

텅스텐 CMP에서 디싱 및 에로젼 결함 감소에 관한 연구 (A Study on the Reduction of Dishing and Erosion Defects in Tungsten CMP)

  • 박범영;김호윤;김구연;김형재;정해도
    • 한국정밀공학회지
    • /
    • 제22권2호
    • /
    • pp.38-45
    • /
    • 2005
  • Chemical mechanical polishing(CMP) has been widely accepted for the planarization of multi-layer structures in semiconductor fabrication. But a variety of defects such as abrasive contamination, scratch, dishing, erosion and corrosion are occurred during CMP. Especially, dishing and erosion defects increase the metal resistance because they decrease the interconnect section area, and ultimately reduce the lift time of the semiconductor. Due to this reason dishing and erosion must be prohibited. The pattern density and size in chip have a significant influence on dishing and erosion occurred by over-polishing. The fixed abrasive pad(FAP) was applied and tested to reduce dishing and erosion in this paper. The abrasive concentration decrease of FAP results in advanced pattern selectivity which can lead the uniform removal in chip and declining over-polishing. Consequently, reduced dishing and erosion was observed in CMP of tungsten pattern wafer with proposed FAP and chemicals.

Cu seed layer 표면의 플라즈마 전처리가 Cu 전기도금 공정에 미치는 효과에 관한 연구 (Effects of Plasma Pretreatment of the Cu Seed Layer on Cu Electroplating)

  • 오준환;이성욱;이종무
    • 한국재료학회지
    • /
    • 제11권9호
    • /
    • pp.802-809
    • /
    • 2001
  • Electroplating is an attractive alternative deposition method for copper with the need for a conformal and conductive seed layer In addition, the Cu seed layer should be highly pure so as not to compromise the effective resistivity of the filled copper interconnect structure. This seed layer requires low electrical resistivity, low levels of impurities, smooth interface, good adhesion to the barrier metal and low thickness concurrent with coherence for ensuring void-free fill. The electrical conductivity of the surface plays an important role in formation of initial Cu nuclei, Cu nucleation is much easier on the substrate with higher electrical conductivities. It is also known that the nucleation processes of Cu are very sensitive to surface condition. In this study, copper seed layers deposited by magnetron sputtering onto a tantalum nitride barrier layer were used for electroplating copper in the forward pulsed mode. Prior to electroplating a copper film, the Cu seed layer was cleaned by plasma H$_2$ and $N_2$. In the plasma treatment exposure tome was varied from 1 to 20 min and plasma power from 20 to 140W. Effects of plasma pretreatment to Cu seed/Tantalum nitride (TaN)/borophosphosilicate glass (BPSG) samples on electroplating of copper (Cu) films were investigated.

  • PDF

Cu 배선 형성을 위한 CMP 특성과 ECP 영향 (Cu CMP Characteristics and Electrochemical plating Effect)

  • 김호윤;홍지호;문상태;한재원;김기호
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.252-255
    • /
    • 2004
  • 반도체는 high integrated, high speed, low power를 위하여 design 뿐만 아니라 재료 측면에서도 많은 변화를 가져오고 있으며, RC delay time을 줄이기 위하여 Al 배선보다 비저항이 낮은 Cu와 low-k material 적용이 그 대표적인 예이다. 그러나, Cu 배선의 경우 dry etching이 어려우므로, 기존의 공정으로는 그 한계를 가지므로 damascene 또는 dual damascene 공정이 소개, 적용되고 있다. Damascene 공정은 절연막에 photo와 RIE 공정을 이용하여 trench를 형성시킨 후 electrochemical plating 공정을 이용하여 trench에 Cu를 filling 시킨다. 이후 CMP 공정을 이용하여 절연막 위의 Cu와 barrier material을 제거함으로서 Cu 배선을 형성하게 된다. Dual damascene 공정은 trench와 via를 동시에 형성시키는 기술로 현재 대부분의 Cu 배선 공정에 적용되고 있다. Cu CMP는 기존의 metal CMP와 마찬가지로 oxidizer를 이용한 Cu film의 화학반응과 연마 입자의 기계가공이 기본 메커니즘이다. Cu CMP에서 backside pressure 영향이 uniformity에 미치는 영향을 살펴보았으며, electrochemical plating 공정에서 발생하는 hump가 CMP 결과에 미치는 영향과 dishing 결과를 통하여 그 영향을 평가하였다.

  • PDF

산화제 배합비에 따른 연마입자 크기와 Cu-CMP의 특성 (The Cu-CMP's features regarding the additional volume of oxidizer)

  • 김태완;이우선;최권우;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2004년도 하계학술대회 논문집 Vol.5 No.1
    • /
    • pp.20-23
    • /
    • 2004
  • As the integrated circuit device shrinks to the smaller dimension, the chemical mechanical polishing(CMP) process was required for the global planarization of inter-metal dielectric(IMD) layer with free-defect. However, as the IMD layer gets thinner, micro-scratches are becoming as major defects. Chemical-Mechanical polishing(CMP) of conductors is a key process in Damascene patterning of advanced interconnect structure. The effect of alternative commercial slurries pads, and post-CMP cleaning alternatives are discuss, with removal rate, scratch dentisty, surface roughness, dishing, erosion and particulate density used as performance metrics. Electroplated copper deposition is a mature process from a historical point of view, but a very young process from a CMP perspective. While copper electro deposition has been used and studied for decades, its application to Cu damascene wafer processing is only now gaining complete acceptance in the semiconductor industry. The polishing mechanism of Cu-CMP process has been reported as the repeated process of passive layer formation by oxidizer and abrasion action by slurry abrasives. however it is important to understand the effect of oxidizer on copper passivation layer in order to obtain higher removal rate and non-uniformity during Cu-CMP process. In this paper, we investigated the effects of oxidizer on Cu-CMP process regarding the additional volume of oxidizer.

  • PDF

접합 공정 조건이 Al-Al 접합의 계면접착에너지에 미치는 영향 (Effect of Bonding Process Conditions on the Interfacial Adhesion Energy of Al-Al Direct Bonds)

  • 김재원;정명혁;장은정;박성철;;;;김성동;박영배
    • 한국재료학회지
    • /
    • 제20권6호
    • /
    • pp.319-325
    • /
    • 2010
  • 3-D IC integration enables the smallest form factor and highest performance due to the shortest and most plentiful interconnects between chips. Direct metal bonding has several advantages over the solder-based bonding, including lower electrical resistivity, better electromigration resistance and more reduced interconnect RC delay, while high process temperature is one of the major bottlenecks of metal direct bonding because it can negatively influence device reliability and manufacturing yield. We performed quantitative analyses of the interfacial properties of Al-Al bonds with varying process parameters, bonding temperature, bonding time, and bonding environment. A 4-point bending method was used to measure the interfacial adhesion energy. The quantitative interfacial adhesion energy measured by a 4-point bending test shows 1.33, 2.25, and $6.44\;J/m^2$ for 400, 450, and $500^{\circ}C$, respectively, in a $N_2$ atmosphere. Increasing the bonding time from 1 to 4 hrs enhanced the interfacial fracture toughness while the effects of forming gas were negligible, which were correlated to the bonding interface analysis results. XPS depth analysis results on the delaminated interfaces showed that the relative area fraction of aluminum oxide to the pure aluminum phase near the bonding surfaces match well the variations of interfacial adhesion energies with bonding process conditions.

BST Thin Film Multi-Layer Capacitors

  • Choi, Woo Sung;Kang, Min-Gyu;Ju, Byeong-Kwon;Yoon, Seok-Jin;Kang, Chong-Yun
    • 한국진공학회:학술대회논문집
    • /
    • 한국진공학회 2013년도 제44회 동계 정기학술대회 초록집
    • /
    • pp.319-319
    • /
    • 2013
  • Even though the fabrication methods of metal oxide based thin film capacitor have been well established such as RF sputtering, Sol-gel, metal organic chemical vapor deposition (MOCVD), ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD), an applicable capacitor of printed circuit board (PCB) has not realized yet by these methods. Barium Strontium Titanate (BST) and other high-k ceramic oxides are important materials used in integrated passive devices, multi-chip modules (MCM), high-density interconnect, and chip-scale packaging. Thin film multi-layer technology is strongly demanded for having high capacitance (120 nF/$mm^2$). In this study, we suggest novel multi-layer thin film capacitor design and fabrication technology utilized by plasma assisted deposition and photolithography processes. Ba0.6Sr0.4TiO3 (BST) was used for the dielectric material since it has high dielectric constant and low dielectric loss. 5-layered BST and Pt thin films with multi-layer sandwich structures were formed on Pt/Ti/$SiO_2$/Si substrate by RF-magnetron sputtering and DC-sputtering. Pt electrodes and BST layers were patterned to reveal internal electrodes by photolithography. SiO2 passivation layer was deposited by plasma-enhanced chemical vapor deposition (PE-CVD). The passivation layer plays an important role to prevent short connection between the electrodes. It was patterned to create holes for the connection between internal electrodes and external electrodes by reactive-ion etching (RIE). External contact pads were formed by Pt electrodes. The microstructure and dielectric characteristics of the capacitors were investigated by scanning electron microscopy (SEM) and impedance analyzer, respectively. In conclusion, the 0402 sized thin film multi-layer capacitors have been demonstrated, which have capacitance of 10 nF. They are expected to be used for decoupling purpose and have been fabricated with high yield.

  • PDF