• 제목/요약/키워드: Exclusive-OR Logic

검색결과 9,211건 처리시간 0.039초

배타 논리합 원리를 이용한 다출력 논리회로 간략화 (Multioutput Logic Simplication Using an Exclusive-OR Logic Synthesis Principle)

  • 권오형
    • 한국산학기술학회논문지
    • /
    • 제15권9호
    • /
    • pp.5744-5749
    • /
    • 2014
  • 다출력 논리식에서 공통식을 추출하는 것은 매우 중요한 기술이다. 본 논문에서는 배타 논리합 식 산출 원리를 이용해서 공통식을 추출하는 새로운 방법을 제안하였다. 산출된 논리식은 AND, OR, NOT 연산자만을 이용해서 전체 논리식을 표현하도록 고안하였다. 공통식 산출의 수행 시간과 리터럴 개수를 줄이기 위해서 선험 방법을 제안하였다. 실험 결과 제안한 방법이 기존의 방법들보다 리터럴 개수를 줄일 수 있음을 보였다.

Exclusive-OR 최소화 기법에 의한 다치논리 함수의 구성 및 실현 (A Constructing Theory of Multiple-Valued Logic Functions based on the Exclusive-OR Minimization Technique and Its Implementation)

  • 박동영;김흥수
    • 전자공학회논문지B
    • /
    • 제29B권11호
    • /
    • pp.56-64
    • /
    • 1992
  • The sum-of-product type MVL (Multiple-valued logic) functions can be directly transformed into the exclusive-sum-of-literal-product(ESOLP) type MVL functions with a substitution of the OR operator with the exclusive-OR(XOR) operator. This paper presents an algorithm that can reduce the number of minterms for the purpose of minimizing the hardware size and the complexity of the circuit in the realization of ESOLP-type MVL functions. In Boolean algebra, the joinable true minterms can form the cube, and if some cubes form a cube-chain with adjacent cubes by the insertion of false cubes(or, false minterms), then the created cube-chain can become a large cube which includes previous cubes. As a result of the cube grouping, the number of minterms can be reduced artificially. Since ESOLP-type MVL functions take the MIN/XOR structure, a XOR circuit and a four-valued MIN/XOR dynamic-CMOS PLA circuit is designed for the realization of the minimized functions, and PSPICE simulation results have been also presented for the validation of the proposed algorithm.

  • PDF

Random Pattern Testability of AND/XOR Circuits

  • Lee, Gueesang
    • Journal of Electrical Engineering and information Science
    • /
    • 제3권1호
    • /
    • pp.8-13
    • /
    • 1998
  • Often ESOP(Exclusive Sum of Products) expressions provide more compact representations of logic functions and implemented circuits are known to be highly testable. Motivated by the merits of using XOR(Exclusive-OR) gates in circuit design, ESOP(Exclusive Sum of Products) expressions are considered s the input to the logic synthesis for random pattern testability. The problem of interest in this paper is whether ESOP expressions provide better random testability than corresponding SOP expressions of the given function. Since XOR gates are used to collect product terms of ESOP expression, fault propagation is not affected by any other product terms in the ESOP expression. Therefore the test set for a fault in ESOP expressions becomes larger than that of SOP expressions, thereby providing better random testability. Experimental results show that in many cases, ESOP expressions require much less random patterns compared to SOP expressions.

  • PDF

수렴적, 발산적 접근에 의한 창의적 사고방법 (Creative Thinking Methodology by Convergent and Divergent Approaches)

  • 최성운
    • 대한안전경영과학회:학술대회논문집
    • /
    • 대한안전경영과학회 2011년도 추계학술대회
    • /
    • pp.219-224
    • /
    • 2011
  • The research reviews the logical approach based on the creative thoughts. The two logical approaches, including deductive convergent and inductive divergent are discussed with why-why techniques and how-how techniques. While the deductive thinking is vertical logic for interconnected hierarchical and deep domains, the inducive thinking is horizontal logic for mutually exclusive and collectively exhausted frameworks. The creative thinking comes from the reversing the logic and lessening the premise of convergent and divergent approaches.

  • PDF

불명확 연역 데이터베이스를 위한 포괄적 및 배타적 or 해석 (Inclusive and Exclusive or Interpretation for Indefinite Deductive Databases)

  • 석윤영;전종훈
    • 정보처리학회논문지D
    • /
    • 제9D권2호
    • /
    • pp.243-250
    • /
    • 2002
  • In order to properly interpret and process or operators in deductive databases including indefinite clauses, we propose to use Lasez′s Strong Model Semantics(LSMS) which is reasonably simple yet powerful enough to support both exclusive and inclusive interpretations. Conventional semantics either fail to support both interpretations or simply too complex. Therefore, in this paper we study advantages and difficulties of representing indefinite information, and as for the solution to difficulties, we show how LSMS can be used to support both inclusive or and exclusive or interpretations. We also investigate and analyze it′s properties and show how it semantically differs from others. We believe that LSMS is the only "reasonably simple" semantics that supports both inclusive and exclusive interpretations.

논리연산을 이용한 주행차량 영상분할 (Segmentation of Moving Multiple Vehicles using Logic Operations)

  • 최기호
    • 한국ITS학회 논문지
    • /
    • 제1권1호
    • /
    • pp.10-16
    • /
    • 2002
  • 본 논문은 논리연산을 이용한 주행차량들의 영상분할 알고리즘을 제안하였다. 연속된 프레임 간에 XOR(Exclusive OR)연산을 행함으로써 차영상을 구하였고, Laplacian 필터링, AND 연산, 팽창(dilation)연산 등을 이용하여 주행차량들에 대해서만 에지들을 추출하고 이들을 영상분할 함으로써 기존방법에 비해 평활화 단계에서 나타날 수 있는 잡음을 제거하였고, 전처리 단계를 줄였으며, 알고리즘을 단순화 하였다 또한 분할된 영상으로부터 컬러 등 주행차량의 특징을 직접 추출 가능토록 하였다. 30fps로 90,000프레임 이상 촬영 된 주행차량들을 대상으로 제안된 알고리즘의 우수성을 보였다

  • PDF

One-chip determinism multi-layer neural network on FPGA

  • Suematsu, Ryosuke;Shimizu, Ryosuke;Aoyama, Tomoo
    • 제어로봇시스템학회:학술대회논문집
    • /
    • 제어로봇시스템학회 2002년도 ICCAS
    • /
    • pp.89.4-89
    • /
    • 2002
  • $\textbullet$ Field Programmable Gate Array $\textbullet$ flexible hardware $\textbullet$ neural network $\textbullet$ determinism learning $\textbullet$ multi-valued logic $\textbullet$ disjunctive normal form $\textbullet$ multi-dimensional exclusive OR

  • PDF

반도체 광 증폭기 XOR 논리게이트를 이용한 10 Gbps 전광 암호화 시스템의 구현 (Demonstration of 10 Gbps, All-optical Encryption and Decryption System Utilizing SOA XOR Logic Gates)

  • 정영진;박남규;전영민;우덕하;이석;길상근
    • 한국광학회지
    • /
    • 제19권3호
    • /
    • pp.237-241
    • /
    • 2008
  • 전자 논리회로에서 이용되는 전자신호 암호화와 같은 방법으로, 반도체 광 증폭기 XOR논리 게이트를 이용한 전광 암호화 시스템을 제안하였다. 시스템의 변수를 최적화 하고 전체 디자인 과정을 빠르게 수행하기 위해 정상상태와(steady state) 과도상태에(dynamic) 대한 전산모사가 차례로 이루어졌다. 심각한 신호 왜곡이 없이 10 Gbps 속도에서 일반적인 반도체 광 증폭기의 연속적 연결을 통해 전광 신호에 대한 암호화와 해독이 수행될 수 있음을 전산모사와 실험에 의한 결과를 통해 보여주었다.

부울함수의 논리곱의 배타적 합 논리로의 간략화 ((The Minimization of Boolean functions to the Exclusive-OR sum of Products logic))

  • 이진흥;이상곤;문상재;서용수;김태근;정원영
    • 한국정보보호학회:학술대회논문집
    • /
    • 한국정보보호학회 1997년도 종합학술발표회논문집
    • /
    • pp.315-321
    • /
    • 1997
  • 본 논문에서는 임의의 부울함수(Boolean function)에 대한 진리표나 출력 시퀀스로부터 논리곱의 배타적 합(exclusive-or sum of products; ESOP)형의 부울함수를 구성하는 알고리듬을 제안한다. 기존에 알려진 카르노맵이나 Quine HcClusky법에 의하여 구해지는 부울함수는 논리곱의 합(sum of product; SOP) 형으로 주어지며 이들 수식은 부정(NOT)논리를 포함하는 경우가 있다. 제안된 알고리듬에 의하여 구해지는 부울함수는 구조적인 등가성을 판별하는데 편리하므로 해쉬함수용 부울함수의 개발에 이용될 수 있다.

  • PDF

A Study of I/O Serial Communication Systems Between Overground And Overhead Controllers

  • Kim, Duk-Hyun
    • 조명전기설비학회논문지
    • /
    • 제21권4호
    • /
    • pp.122-128
    • /
    • 2007
  • This paper represents the Input/Output (I/O) serial communication methods between overground and overhead controllers using a programmable logic controller (PLC). In general, the systems composed by overground and overhead controllers use exclusive serial communication units. This, however, has a demerit such as a high cost as well as some restrictions of the system itself. Thus, this paper suggests methods by I/O cards for data communication between overground and overhead controllers. In this system, there is no special card and it therefore has a lower cost and is more flexible than the exclusive serial communication unit.