• Title/Summary/Keyword: Etching profile

Search Result 203, Processing Time 0.035 seconds

Optimization of Etching Profile in Deep-Reactive-Ion Etching for MEMS Processes of Sensors

  • Yang, Chung Mo;Kim, Hee Yeoun;Park, Jae Hong
    • Journal of Sensor Science and Technology
    • /
    • v.24 no.1
    • /
    • pp.10-14
    • /
    • 2015
  • This paper reports the results of a study on the optimization of the etching profile, which is an important factor in deep-reactive-ion etching (DRIE), i.e., dry etching. Dry etching is the key processing step necessary for the development of the Internet of Things (IoT) and various microelectromechanical sensors (MEMS). Large-area etching (open area > 20%) under a high-frequency (HF) condition with nonoptimized processing parameters results in damage to the etched sidewall. Therefore, in this study, optimization was performed under a low-frequency (LF) condition. The HF method, which is typically used for through-silicon via (TSV) technology, applies a high etch rate and cannot be easily adapted to processes sensitive to sidewall damage. The optimal etching profile was determined by controlling various parameters for the DRIE of a large Si wafer area (open area > 20%). The optimal processing condition was derived after establishing the correlations of etch rate, uniformity, and sidewall damage on a 6-in Si wafer to the parameters of coil power, run pressure, platen power for passivation etching, and $SF_6$ gas flow rate. The processing-parameter-dependent results of the experiments performed for optimization of the etching profile in terms of etch rate, uniformity, and sidewall damage in the case of large Si area etching can be summarized as follows. When LF is applied, the platen power, coil power, and $SF_6$ should be low, whereas the run pressure has little effect on the etching performance. Under the optimal LF condition of 380 Hz, the platen power, coil power, and $SF_6$ were set at 115W, 3500W, and 700 sccm, respectively. In addition, the aforementioned standard recipe was applied as follows: run pressure of 4 Pa, $C_4F_8$ content of 400 sccm, and a gas exchange interval of $SF_6/C_4F_8=2s/3s$.

A study on platinum dry etching using a cryogenic magnetized inductively coupled plasma (극저온 자화 유도 결합 플라즈마를 이용한 Platinum 식각에 관한 연구)

  • 김진성;김정훈;김윤택;황기웅;주정훈;김진웅
    • Journal of the Korean Vacuum Society
    • /
    • v.8 no.4A
    • /
    • pp.476-481
    • /
    • 1999
  • Characteristics of platinum dry etching were investigated in a cryogenic magnetized inductively coupled plasma (MICP). The problem with platinum etching is the redeposition of sputtered platinum on the sidewall. Because of the redeposits on the sidewall, the etching of patterned platinum structure produces feature sizes that exceed the original dimension of the PR size and the etch profile has needle-like shape [1]. The main object of this study was to investigate a new process technology for fence-free Pt etching As bias voltage increased, the height of fence was reduced. In cryogenic etching, the height of fence was reduced to 20% at-$190^{\circ}C$ compared with that of room temperature, however the etch profile was not still fence-free. In Ar/$SF_6$ Plasma, fence-free Pt etching was possible. As the ratio of $SF_6$ gas flow is more than 14% of total gas flow, the etch profile had no fence. Chemical reaction seemed to take place in the etch process.

  • PDF

Etching Characteristics of Fine Ta Patterns with Electron Cyclotron Resonance Chlorine Plasma

  • Kim, Sang-Hoon;Woo, Sang-Gyun;Ahn, Jin-Ho
    • Proceedings of the International Microelectronics And Packaging Society Conference
    • /
    • 2000.04a
    • /
    • pp.97-102
    • /
    • 2000
  • We have studied etching characteristic of Ta film using Electron Cyclotron Resonance (ECR) etcher system. Microwave source power. RF bias power. and working pressure were varied to investigate the etch Profile. And we have used two step etching method to acquire the goWe have studied etching characteristic of Ta film using Electron Cyclotron Resonance (ECR) etcher system. Microwave source power. RF bias power. and working pressure were varied to investigate the etch Profile. And we have used two step etching method to acquire the good etch profile preventing the microloading effect.od etch profile preventing the microloading effect.

  • PDF

Finite Element Simulation and Experimental Study on the Electrochemical Etching Process for Fabrication of Micro Metal Mold (미세금형 가공을 위한 전기화학식각 공정의 유한요소 해석 및 실험결과 비교)

  • Ryu, Heon-Yul;Im, Hyeon-Seung;Cho, Si-Hyeong;Hwang, Byeong-Jun;Lee, Sung-Ho;Park, Jin-Goo
    • Korean Journal of Materials Research
    • /
    • v.22 no.9
    • /
    • pp.482-488
    • /
    • 2012
  • To fabricate a precise micro metal mold, the electrochemical etching process has been researched. We investigated the electrochemical etching process numerically and experimentally to determine the etching tendency of the process, focusing on the current density, which is a major parameter of the process. The finite element method, a kind of numerical analysis, was used to determine the current density distribution on the workpiece. Stainless steel(SS304) substrate with various sized square and circular array patterns as an anode and copper(Cu) plate as a cathode were used for the electrochemical experiments. A mixture of $H_2SO_4$, $H_3PO_4$, and DIW was used as an electrolyte. In this paper, comparison of the results from the experiment and the numerical simulation is presented, including the current density distribution and line profile from the simulation, and the etching profile and surface morphology from the experiment. Etching profile and surface morphology were characterized using a 3D-profiler and FE-SEM measurement. From a comparison of the data, it was confirmed that the current density distribution and the line profile of the simulation were similar to the surface morphology and the etching profile of the experiment, respectively. The current density is more concentrated at the vertex of the square pattern and circumference of the circular pattern. And, the depth of the etched area is proportional to the current density.

The Development of Deep Silicon Etch Process with Conventional Inductively Coupled Plasma (ICP) Etcher (범용성 유도결합 플라즈마 식각장비를 이용한 깊은 실리콘 식각)

  • 조수범;박세근;오범환
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.17 no.7
    • /
    • pp.701-707
    • /
    • 2004
  • High aspect ratio silicon structure through deep silicon etching process have become indispensable for advanced MEMS applications. In this paper, we present the results of modified Bosch process to obtain anisotropic silicon structure with conventional Inductively Coupled Plasma (ICP) etcher instead of the expensive Bosch process systems. In modified Bosch process, etching step ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) step time is much longer than commercialized Bosch scheme and process transition time is introduced between process steps to improve gas switching and RF power delivery efficiency. To optimize process parameters, etching ($SFsub6$) / sidewall passivation ($Csub4Fsub8$) time and ion energy effects on etching profile was investigated. Etch profile strongly depends on the period of etch / passivation and ion energy. Furthermore, substrate temperature during etching process was found to be an important parameter determining etching profile. Test structures with different pattern size have been etched for the comparison of the aspect ratio dependent etch rate and the formation of silicon grass. At optimized process condition, micropatterns etched with modified Bosch process showed nearly vertical sidewall and no silicon grass formation with etch rate of 1.2 ${\mu}{\textrm}{m}$/ min and the size of scallop of 250 nm.

The Study for Investigation of the sufficient vertical profile with reducing loading effect for silicon deep trench etching (Vertical Profile Silicon Deep Trench Etch와 Loading effect의 최소화에 대한 연구)

  • Kim, Sang-Yong;Jeong, Woo-Yang;Yi, Keun-Man;Kim, Chang-Il
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2009.06a
    • /
    • pp.118-119
    • /
    • 2009
  • This paper presents the feature profile evolution silicon deep trench etching, which is very crucial for the commercial wafer process application. The silicon deep trenches were etched with the SF6 gas & Hbr gas based process recipe. The optimized silicon deep trench process resulted in vertical profiles (87o~90o) with loading effect of < 1%. The process recipes were developed for the silicon deep trench etching applications. This scheme provides vertically profiles without notching of top corner was observed. In this study, the production of SF6 gas based silicon deep trench etch process much more strongly than expected on the basis of Hbr gas trench process that have been investigated by scanning electron microscope (SEM). Based on the test results, it is concluded that the silicon deep trench etching shows the sufficient profile for practical MOS FET silicon deep trench technology process.

  • PDF

A Study on the Properties of Platinum Dry Etching using the MICP (MICP를 이용한 Platinum 건식 식각 특성에 관한 연구)

  • Kim, Jin-Sung;Kim, Jung-Hun;Kim, Youn-Taeg;Joo, Jung-Hoon;Whang, Ki-Woong
    • Proceedings of the KIEE Conference
    • /
    • 1997.11a
    • /
    • pp.279-281
    • /
    • 1997
  • The properties of Platinum dry etching were investigated in MICP(Magnetized Inductively Coupled Plasma). The problem with Platinum etching is the redeposition of sputtered Platinum on the sidewall. Because of the redeposits on the sidewall, the etching of patterned Platinum structure produce feature sizes that exceed the original dimension of the PR size and the etch profile has needle-like shape.[1] Generally, $Cl_2$ plasma is used for the fence-free etching.[1][2][3] The main object of this study was to investigate a new process technology for the fence-free Pt etching. Platinum was etched with Ar plasma at the cryogenic temperature and with Ar/$SF_6$ plasma at room temperature. In cryogenic etching, the height of fence was reduced to 20% at $-190^{\circ}C$ compared with that of room temp., but the etch profile was not fence-free. In Ar/$SF_6$ Plasma, chemical reaction took part in etching process. The trend of properties of Ar/$SF_6$ Plasma etching is similar to that of $Cl_2$ Plasma etching. Fence-free etching was possible, but PR selectivity was very low. A new gas chemistry for fence-free Platinum etching was proposed in this study.

  • PDF

Cu Electroplating on Patterned Substrate and Etching Properties of Cu-Cr Film for Manufacturing TAB Tape (TAB 테이프 제조를 위한 구리 도금 및 에칭에 관한 연구)

  • Kim, N. S.;Kang, T.;Yun, I. P.;Park, Y. S.
    • Journal of the Korean institute of surface engineering
    • /
    • v.27 no.3
    • /
    • pp.158-165
    • /
    • 1994
  • Cu-Cr alloy thin film requires good quality of etching be used for TAB technology. The etched cross sec-tion was clean enough when the etching was performed in 0.1M $FeCl_3$ solution at $50^{\circ}C$. The etching rate was increased with the amount of $KMnO_4$. For enhanced profile of cross section and rate, the spray etchning was found to be superior compared to the immersion etching. A series of experiments were performed to improve the uniformity of the current distribution in electrodeposition onto the substrate with lithographic patterns. Copper was electrodeposited from quiescent-solution, paddle-agitated-solution, and air-bubbled-solution to in-vestigate the effect of the fluid flow. The thickness profile of the specimen measured by profilmetry has the non uniformity at feature scale in quiescent-solution, because of the longitudinal vortex roll caused by the natural convection. However, uniform thickness profile was achieved in paddle-agitated or air bubbled solu-tion.

  • PDF

Carbon 계 유기막질 Plasma Etching에 있어 COS (Carbonyl Sulfide) Gas 특성에 관한 연구

  • Kim, Jong-Gyu;Min, Gyeong-Seok;Kim, Chan-Gyu;Nam, Seok-U;Gang, Ho-Gyu;Yeom, Geun-Yeong
    • Proceedings of the Korean Vacuum Society Conference
    • /
    • 2012.02a
    • /
    • pp.460-460
    • /
    • 2012
  • 반도체 Device가 Shrink 함에 따라 Pattern Size가 작아지게 되고, 이로 인해 Photo Resist 물질 자체만으로는 원하는 Patterning 물질들을 Plasma Etching 하기가 어려워지고 있다. 이로 인해 Photoresist를 대체할 Hard Mask 개념이 도입되었으며, 이 Hardmask Layer 중 Amorphous Carbon Layer 가 가장 널리 사용되고 지고 있다. 이 Amorphous Carbon 계열의 Hardmask를 Etching 하기 위해서 기본적으로 O2 Plasma가 사용되는데, 이 O2 Plasma 내의 Oxygen Species들이 가지는 등 방성 Diffusion 특성으로 인해, 원하고자 하는 미세 Pattern의 Vertical Profile을 얻는데 많은 어려움이 있어왔다. 이를 Control 하기 인해 O2 Plasma Parameter들의 변화 및 Source/Bias Power 등의 변수가 연구되어 왔으며, 이와 다른 접근으로, N2 및 CO, CO2, SO2 등의 여러 Additive Gas 들의 첨가를 통해 미세 Pattern의 Profile을 개선하고, Plasma Etching 특성을 개선하는 연구가 같이 진행되어져 왔다. 본 논문에서 VLSI Device의 Masking Layer로 사용되는, Carbon 계 유기 층의 Plasma 식각 특성에 대한 연구를 진행하였다. Plasma Etchant로 사용되는 O2 Plasma에 새로운 첨가제 가스인 카르보닐 황화물 (COS) Gas를 추가하였을 시 나타나는 Plasma 내의 변화를 Plasma Parameter 및 IR 및 XPS, OES 분석을 통하여 규명하고, 이로 인한 Etch Rate 및 Plasma Potential에 대해 비교 분석하였다. COS Gas를 정량적으로 추가할 시, Plasma의 변화 및 이로 인해 얻어지는 Pattern에서의 Etchant Species들의 변화를 통해 Profile의 변화를 Mechanism 적으로 규명할 수 있었으며, 이로 인해 기존의 O2 Plasma를 통해 얻어진 Vertical Profile 대비, COS Additive Gas를 추가하였을 경우, Pattern Profile 변화가 개선됨을 최종적으로 확인 할 수 있었다.

  • PDF

GaN Dry Etching Characteristics using a planar Inductively coupled plasma (평판형 유도 결합 플라즈마틀 이용한 GaN 건식 식각 특성)

  • Kim, Moon-Young;Kim, Tae-Hyun;Jang, Sang-Hun;Tae, Heung-Sik
    • Proceedings of the KIEE Conference
    • /
    • 1997.11a
    • /
    • pp.276-278
    • /
    • 1997
  • The reliable etching process is one of the essential steps in fabricating GaN based-device. High etch rate is needed to obtain a deeply etched structure and perfect anisotropic etched facet is needed to obtain lasing profile. In the research, therefore, we had proposed a planar inductively coupled plasma etcher (Planar ICP Etcher) as a high density plasma source, and studied the etching mechanism using the $CH_4/H_2$/Ar gas mixture. Dry etching characteristics such as etch rate, anisotropic etching profile and so on, for the III-V nitride layers were investigated using Planar ICP Etcher, based on the plasma characteristic as a variation of plasma process parameters.

  • PDF