• Title/Summary/Keyword: Chemical cleaning

Search Result 523, Processing Time 0.038 seconds

Review of Problems with Use of Halogenated Cleaning Solvents Revealed through Case Studies of Cleaning Solvent Poisoning and Analysis of Domestic and Overseas Regulations (세척제 용매 중독 사례와 국내·외 규제 검토를 통한 할로겐화 용매 세척제 사용의 문제점 고찰)

  • Naroo Lee;Hye Jin Lee;Sujin Jeong;Dohee Lee;Arom Shin
    • Journal of Korean Society of Occupational and Environmental Hygiene
    • /
    • v.33 no.4
    • /
    • pp.517-527
    • /
    • 2023
  • Objectives: We examine cases of chemical poisoning that occurred in the cleaning of metal parts and the regulations on halogenated solvents in other countries and propose regulations necessary to prevent chemical poisoning from halogenated solvents. Methods: We collected cases of chemical poisoning through the website of the Korea Occupational Safety and Health Agency. A review of the literature was conducted focusing on regulations related to halogenated solvents in the United States and the European Union, particularly for cleaning metal parts. Among the Material Safety Data Sheets submitted to the government, MSDS containing eleven substances were extracted to confirm the composition and product use. We investigated cleaning methods for metal parts used in South Korea. For the hazard classification, the European Chemicals Agency or Japan's NITE's website was used. Results: In the case of poisoning, the cleaning methods involving trichloromethane were dipping and dry, which was not found in the literature. It was confirmed that many halogenated solvents and dimethyl carbonate were used for metal cleaning in South Korea. In vapor degreasing using TCE in the USA, even if the facility is strictly managed, such as by installing cooling coils in open cleaning facilities, the risk of exposure to TCE is considered to be not only carcinogenic but also a concern for acute and chronic effects. In comparison, exposure through Korean work methods such as dipping and drying operations is inevitably much higher. Conclusions: The transition to water-based cleaning with low-hazard chemicals should be a priority in the cleaning process. In the case of metal parts that require precise cleaning, if the use of a halogenated solvent is inevitable, a closed degreasing facility should be used to minimize exposure. The current regulations in the Occupational Safety and Health Act, the Chemical Substances Control Act, and the Air Environment Conservation Act do not require cleaning facilities to minimize emissions. To protect the health of workers using halogenated solvents to clean metal parts, regulations that require a fundamental reduction in exposure will be necessary.

A Study on Cleanliness Evaluation Methods of Industrial Cleaning Agents and Their Field Applications (산업 세정제의 세정성 평가방법 및 적용사례)

  • Bae, Jae-Heum;Shin, Min-Chul
    • Clean Technology
    • /
    • v.5 no.2
    • /
    • pp.1-12
    • /
    • 1999
  • Cleaning Processes are widely employed in the manufacturing processes of many industries and various environmental contaminants are generated during their operation. Thus, as the environmental and safety regulations are getting severer at home and abroad, it is indispensable that the existing cleaning agents which are causing environmental and safety problems should be replaced by the more environmentally-friendly alternative ones. In order to select alternative cleaning agents, it is necessary that their cleaning power is equivalent to or better than that of existing cleaning agents. However, the evaluation methods of cleaning power of industrial cleaning agents have not been established for comparison of cleanliness. In this study, various kinds of evaluation methods of cleanliness which can be utilized in the laboratory or in the industrial field are examined and their field application cases are reviewed and analyzed.

  • PDF

Cleanliness Test by Spray-Type Cleaning Agent for Electronic and Semiconductor Equipment (전자·반도체용 스프레이 분사형 세정제에 대한 청정도 평가)

  • Heo, Hyo Jung;Row, Kyung Ho
    • Korean Chemical Engineering Research
    • /
    • v.47 no.6
    • /
    • pp.688-694
    • /
    • 2009
  • A spray-type cleaning agent in utilizing dust-remover on PCB was chosen to study the cleanliness test and efficiency. In order to choose alternative environmental-friendly cleaning agents, it is important that the systematic selection procedures should be introduced and applied through the evaluation of their cleaning ability, environmental characteristics, and economical factors, and that the objective and effective evaluation methods of cleanliness should be established for the industry. A novel cleaning evaluation method with scanning electron microscopy/energy-dispersive X-ray analysis of surface observation evaluation method and an infra-red thermography camera(THERMOVISION A20 model) was studied in this work. The sound card(CT-2770 model) cut by $2{\times}2cm$ size was used as a part, and before and after the spray cleaning, the cleanliness was observed by the image analyzer of SEM and further the removal efficiency of dust was quantitatively evaluated by the component analysis of EDX. For the parts of P4TE model motherboard and IPC-A-36 PCB plate, before and after the spray cleaning, temperature differences were measured and compared at room temperature and 50 oven temperature by an infra-red thermography camera in the contaminants of dust and iron powder.

The Influence of Cyclic Treatments with H₂O₂ and HF Solutions on the Roughness of Silicon Surface

  • 이혜영;이충훈;전형탁;정동운
    • Bulletin of the Korean Chemical Society
    • /
    • v.18 no.7
    • /
    • pp.737-740
    • /
    • 1997
  • The influence of cyclic treatments with H2O2/DIW (1 : 10) and HF/DIW (1 : 100) on the roughness of silicon surface in the wet chemical processing was investigated by atomic force microscopy (AFM). During the step of the SC-1 cleaning, there is a large increase in roughness on the silicon surface which will result in the poor gate oxide breakdown properties. The roughness of the silicon wafer after the SC-1 cleaning step was reduced by cyclic treatments of hydrogen peroxide solution and hydrofluoric acid solution instead of HF-only cleaning. AFM images after each step clearly illustrated that the average roughness of silicon surface after three times treatments with H2O2 and HF solutions was reduced by 10 times compared with that after the SC-1 cleaning step.

A Study on Formulation of Surfactant-free Aqueous Cleaning agents and Evaluation of Their Physical Properties and Cleaning Ability (계면활성제 무첨가 세정제의 배합 및 물성/세정성 평가 연구)

  • Lee, Jae Ryoung;Yoon, Hee Keun;Lee, Min Jae;Bae, Jae Heum;Bae, Soo Jeong;Lee, Ho Yeoul;Kim, Jong Hee
    • Clean Technology
    • /
    • v.19 no.3
    • /
    • pp.219-225
    • /
    • 2013
  • Environment-friendly and surfactant-free aqueous cleaning agents have been developed in order to solve various problems generated by surfactants in the aqueous cleaning agents. Aqueous surfactant-free cleaning agents, S-1 and S-2 have been formulated with water-soluble solvents such as propylene glycol and propylene glycol ether on their main components and with some additives. These solvents were chosen because of their good solubility in water and excellent solubility of fluxes which are major contaminants of printed circuit board in the electronic industry. Physical properties of the formulated and the imported cleaning agents were measured to predict their cleaning performance, and their cleaning abilities of flux and solder contaminants were evaluated under the various ultrasonic frequencies by a gravimetric method. The measurement results show that the physical properties of cleaning agent V are generally similar with those of formulated cleaning agents S-1 and S-2. Both the cleaning agent V and the formulated cleaning agents S-1 and S-2 showed similar trends that their pH decrease in the beginning and then increases later on with the increase of their dilution in water. It is considered that the wetting indices of the cleaning agents calculated with experimental values do not not have any influence on their cleaning ability. In ultrasonic cleaning tests under three ultrasonic frequencies of 28, 45, and 100 kHz, their best performances of cleaning solder and flux were obtained at 45 kHz and 28 kHz, respectively, and the cleaning performance of the formulated cleaning agents S-1 and S-2 was better than that of the cleaning agent V. However, in the case of the recommended diluted concentration of 25 wt% cleaning solution, the cleaning performance of the cleaner V for solder and flux was better in the initial stage of cleaning compared to the formulated cleaners. And it may be concluded that the formulated cleaning agents S-1 and S-2 can be applied to cleaning of solder and flux in the industry, based on the experimental results in this study.

Effects of Ultrasonic Cleaning and Chemical Pre-treatment on the Characteristics of Fast-stabilized Rayon Fabrics (빠르게 안정화된 레이온직물의 특성에 미치는 초음파세척 및 화학전처리 영향)

  • Cho, Chae Wook;Cho, Donghwan
    • Journal of Adhesion and Interface
    • /
    • v.14 no.3
    • /
    • pp.146-159
    • /
    • 2013
  • In the present study, stabilized rayon fabrics were prepared from fast isothermal stabilization processes, which were carried out within four minutes at $350^{\circ}C$. The effects of ultrasonic cleaning and chemical pre-treatment on the chemical composition, physical characteristics, X-ray diffraction pattern, thermal stability and shape of the stabilized rayon fabrics were investigated extensively. In order to reduce the weight loss and thermal shrinkage of rayon fabrics occurring during the stabilization process, ultrasonic cleaning was first conducted and then chemical pre-treatments using $NH_4Cl$, $Na_3PO_4$, $H_3PO_4$, and $ZnCl_2$ were performed, respectively. The results indicated that both ultrasonic cleaning and chemical pre-treatment influenced the weight loss, thermal shrinkage, microstructure, carbon content, thermal stability and fabric shape of stabilized rayon fabrics. Also the results depended on the fast-stabilization time and the type of chemical pre-treatment agents used.

Effect of chemical in post Ru CMP Cleaning solutions on abrasive particle adhesion and removal (Post Ru CMP Cleaning에서 연마입자의 흡착과 제거에 대한 chemical의 첨가제에 따른 영향)

  • Kim, In-Kwon;Kim, Tae-Gon;Cho, Byung-Gwun;Son, Il-Ryong;Park, Jin-Goo
    • Proceedings of the Korean Institute of Electrical and Electronic Material Engineers Conference
    • /
    • 2007.11a
    • /
    • pp.529-529
    • /
    • 2007
  • Ruthenium (Ru) is a white metal and belongs to platinum group which is very stable chemically and has a high work function. It has been widely studied to apply Ru as an electrode material in memory devices and a Cu diffusion barrier metal for Cu interconnection due to good electrical conductivity and adhesion property to Cu layer. To planarize deposited Ru layer, chemical mechanical planarization(CMP) was suggested. However, abrasive particle can induce particle contamination on the Ru layer surface during CMP process. In this study, zeta potentials of Ru and interaction force of alumina particles with Ru substrate were measured as a function of pH. The etch rate and oxidation behavior were measured as a function of chemical concentration of several organic acids and other acidic and alkaline chemicals. PRE (particle removal efficiency) was also evaluated in cleaning chemical.

  • PDF

Development of Aqueous/Semi-Aqueous Cleaning Agent and its Field Application to Cleaning Process of Electronic Parts (수계/준수계 세정제의 개발 및 전자부품 세정공정 현장적용 연구)

  • Kim, Han-Seong;Cha, An-Jeong;Bae, Jae-Heum;Lee, Ha-Yeoul;Lee, Myung-Jin;Park, Byeong-Deog
    • Clean Technology
    • /
    • v.10 no.2
    • /
    • pp.61-72
    • /
    • 2004
  • In this study, aqueous/semi-aqueous cleaning agents which consist of organic solvent, surfactant, cosurfactant, and water were developed by changing formulation parameters such as organic solvent type and contents, surfactant type and contents, and cosurfactant/surfactant(A/S) ratio, etc.. And physical properties and flux removal of the formulated cleaning agents have been evaluated. Also, the performance of oil-water separation from the rinse water contaminated during the cleaning process was evaluated for its recycling. The formulated cleaning agents in this work expected to have good penetration because of their low viscosity and low surface tension values of 30.2~32.5 dyne/cm. The flux removal with the terpene type cleaning agent was higher than that with hydrocarbon type cleaning agent and two commercial products (CPA(commercial product A), CPB(commercial product B)). And the performance of oil-water separation by gravity settling from the rinse water contaminated with formulated cleaning agent and soils was shown to be very good. The cleaning agents developed in this work were applied to surface mounting technology(SMT) cleaning process for manufacturing electronic parts at L electronic company. As a result, the newly developed cleaning agents showed two times better cleaning speed for removal of solder cream than the conventional ond containing ethanol and IPA(isopropyl alcohol). In addition, malodor and VOC problems generated by the previous organic cleaning agents have been solved in the manufacturing field through introduction of the non-volatile and environmental-friendly cleaning agents to the field.

  • PDF

Electrolyzed Water Cleaning for Semiconductor Manufacturing (전리수를 이용한 반도체 세정 공정)

  • 류근걸;김우혁;이윤배;이종권
    • Journal of the Semiconductor & Display Technology
    • /
    • v.2 no.3
    • /
    • pp.1-6
    • /
    • 2003
  • In the rapid changes of the semiconductor manufacturing technologies for early 21st century, it may be safely said that a kernel of terms is the size increase of Si wafer and the size decrease of semiconductor devices. As the size of Si wafers increases and semiconductor device is miniaturized, the units of cleaning processes increase. A present cleaning technology is based upon RCA cleaning which consumes vast chemicals and ultra pure water (UPW) and is the high temperature process. Therefore, this technology gives rise to environmental issue. To resolve this matter, candidates of advanced cleaning processes have been studied. One of them is to apply the electrolyzed water. In this work, electrolyzed water cleaning was compared with various chemical cleaning, using Si wafer surfaces by changing cleaning temperature and cleaning time, and especially, concentrating upon the contact angle. It was observed that contact angle on surface treated with Electrolyzed water cleaning was $4.4^{\circ}$ without RCA cleaning. Amine series additive of high pKa (negative logarithm of the acidity constant) was used to observe the property changes of cathode water.

  • PDF

Effect of PVA Brush Contamination on Post-CMP Cleaning Performance (Post-CMP Cleaning에서 PVA 브러시 오염이 세정 효율에 미치는 영향)

  • Cho, Han-Chul;Yuh, Min-Jong;Kim, Suk-Joo;Jeong, Hae-Do
    • Journal of the Korean Institute of Electrical and Electronic Material Engineers
    • /
    • v.22 no.2
    • /
    • pp.114-118
    • /
    • 2009
  • PVA (polyvinyl alcohol) brush cleaning method is a typical cleaning method for semiconductor cleaning process especially post-CMP cleaning. PVA brush contacts with the wafer surface and abrasive particle, generating the contact rotational torque of the brush, which is the removal mechanism. The brush rotational torque can overcome theoretically the adhesion force generated between the abrasive particle and wafer by zeta potential. However, after CMP (chemical mechanical polishing) process, many particles remained on the wafer because the brush was contaminated in previous post-CMP cleaning step. The abrasive particle on the brush redeposits to the wafer. The level of the brush contamination increased according to the cleaning run time. After cleaning the brush, the level of wafer contamination dramatically decreased. Therefore, the brush cleanliness effect on the cleaning performance and it is important for the brush to be maintained clearly.