• 제목/요약/키워드: CMP (chemical mechanical polishing)

검색결과 429건 처리시간 0.03초

CMP 공정에서 마이크로 스크래치 감소를 위한 슬러리 필터의 특성 (Characteristics of Slurry Filter for Reduction of CMP Slurry-induced Micro-scratch)

  • 김철복;김상용;서용진
    • 한국전기전자재료학회논문지
    • /
    • 제14권7호
    • /
    • pp.557-561
    • /
    • 2001
  • Chemical mechanical polishing (CMP) process has been widely used to planarize dielectric layers, which can be applied to the integraded circuits for sub-micron technology. Despite the increased use of CMP process, it is difficult to accomplish the global planarization of in the defect-free inter-level dielectrics (ILD). Especially, defects such as micro-scratch lead to severe circuit failure which affect yield. CMP slurries can contain particles exceeding 1㎛ in size, which could cause micro-scratch on the wafer surface. The large particles in these slurries may be caused by particles agglomeration in slurry supply line. To reduce these defects, slurry filtration method has been recommended in oxide CMP. In this work, we have studied the effects of filtration and the defect trend as a function of polished wafer count using various filters in inter-metal dielectrics(IMD)-CMP process. The filter installation in CMP polisher could reduce defects after IMD-CMP process. As a result of micro-scratch formation, it is shown that slurry filter plays an important role in determining consumable pad lifetime. The filter lifetime is dominated by the defects. We have concluded that slurry filter lifetime is fixed by the degree of generating defects.

  • PDF

텅스텐 CMP에서 산화제 영향에 관한 연구 (A Study on Oxidizer Effects in Tungsten CMP)

  • 박범영;이현섭;박기현;정석훈;서헌덕;정해도;김호윤;김형재
    • 한국전기전자재료학회논문지
    • /
    • 제18권9호
    • /
    • pp.787-792
    • /
    • 2005
  • Chemical mechanical polishing(CMP) has become the process of choice for modem semiconductor devices to achieve both local and global planarization. CMP is a complex process which depends on numerous variables such as macro, micro and nano-geometry of pad, relative velocity between pad and wafer stiffness and dampening characteristics of pad, slurry, pH, chemical components of slurry, abrasive concentration, abrasive size, abrasive shape, etc. Especially, an oxidizer of chemical components is very important remove a target material in metal CMP process. This paper introduces the effect of oxidizer such as $H_2O_2,\;Fe(NO_3)_3\;and\;KIO_3$ in slurry for tungsten which is used in via or/and plug. Finally the duplex reacting mechanism of $oxidizer(H_2O_2)$ through adding the $catalyst(Fe(NO_3)_3)$ could acquire the sufficient removal rate in tungsten CMP.

CFD를 이용한 CMP장비의 효과적인 공정을 위한 수치해석적 연구 (A Numerical Analysis Using CFD for Effective Process at CMP Equipment)

  • 이수연;김광선
    • 반도체디스플레이기술학회지
    • /
    • 제10권4호
    • /
    • pp.139-144
    • /
    • 2011
  • CMP process is an essential element in the semiconductor product processes in Chemical Mechanical Polishing. Taken as a whole, CMP is one process, but concretely, it is a detail process which consists of polishing, cleaning, and so on. Especially, the polishing and cleaning are key points in the whole process. Polishing rate is the most important factor and is related with deposition of slurry in the polishing process. Each outlet velocities is the most important factors in cleaning process. And when the velocities are more uniform, the cleaning becomes more effective. In this research, based on these factors, we performed a numerical analysis for effective polishing and cleaning which can be applied to industrial field. Consequently, we figured out that more than one opened nozzle is more effective than one opened nozzle at the polishing pad in case of this research. And we confirmed that the revised models have the uniform velocity distribution more than the previous model of the cleaning nozzle.

Post-Cu CMP cleaning에서 연마입자 제거에 buffing 공정이 미치는 영향 (The effect of buffing on particle removal in Post-Cu CMP cleaning)

  • 김영민;조한철;정해도
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2008년도 하계학술대회 논문집 Vol.9
    • /
    • pp.537-537
    • /
    • 2008
  • Copper (Cu) has been widely used for interconnection structure in intergrated circuits because of its properties such as a low resistance and high resistance to electromigration compared with aluminuim. Damascene processing for the interconnection structure utilizes 2-steps chemical mechanical polishing(CMP). After polishing, the removal of abrasive particles on the surfaces becomes as important as the polishing process. In the paper, buffing process for the removal of colloidal silica from polished Cu wafer was proposed and demonstrated.

  • PDF

H2O2 산화제가 W/Ti 박막의 전기화학적 분극특성 및 CMP 성능에 미치는 영향 (Electrochemical Polarization Characteristics and Effect of the CMP Performances of Tungsten and Titanium Film by H2O2 Oxidizer)

  • 나은영;서용진;이우선
    • 한국전기전자재료학회논문지
    • /
    • 제18권6호
    • /
    • pp.515-520
    • /
    • 2005
  • CMP(chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. Also CMP process got into key process for global planarization in the chip manufacturing process. In this study, potentiodynamic polarization was carried out to investigate the influences of $H_2O_2$ concentration and metal oxide formation through the passivation on tungsten and titanium. Fortunately, the electrochemical behaviors of tungsten and titanium are similar, an one may expect. As an experimental result, electrochemical corrosion of the $5\;vol\%\;H_2O_2$ concentration of tungsten and titanium films was higher than the other concentrations. According to the analysis, the oxidation state and microstructure of surface layer were strongly influenced by different oxidizer concentration. Moreover, the oxidation kinetics and resulting chemical state of oxide layer played critical roles in determining the overall CMP performance. Therefore, we conclude that the CMP characteristics tungsten and titanium metal layer including surface roughness were strongly dependent on the amounts of hydrogen peroxide oxidizer.

실리카졸의 이온전도도 변화에 따른 사파이어 웨이퍼의 연마 특성 (Characteristics of Sapphire Wafers Polishing Depending on Ion Conductivity of Silica Sol)

  • 나호성;조경숙;이동현;박민경;김대성;이승호
    • 한국재료학회지
    • /
    • 제25권1호
    • /
    • pp.21-26
    • /
    • 2015
  • CMP(Chemical Mechanical Polishing) Processes have been used to improve the planarization of the wafers in the semiconductor manufacturing industry. Polishing performance of CMP Process is determined by the chemical reaction of the liquid sol containing abrasive, pressure of the head portion and rotational speed of the polishing pad. However, frictional heat generated during the CMP process causes agglomeration of the particles and the liquidity degradation, resulting in a non-uniform of surface roughness and surface scratch. To overcome this chronic problem, herein, we introduced NaCl salt as an additive into silica sol for elimination the generation of frictional heat. The added NaCl reduced the zata potential of silica sol and increased the contact surface of silica particles onto the sapphire wafer, resulting in increase of the removal rate up to 17 %. Additionally, it seems that the silica particles adsorbed on the polishing pad decreased the contact area between the sapphire water and polishing pad, which suppressed the generation of frictional heat.

CMP 슬러리 연마제의 재활용에 대한 연구 (A Study on the recycle of CMP Slurry Abrasives)

  • 이경진;김기욱;박성우;최운식;서용진
    • 한국전기전자재료학회:학술대회논문집
    • /
    • 한국전기전자재료학회 2003년도 제5회 학술대회 논문집 일렉트렛트 및 응용기술연구회
    • /
    • pp.109-112
    • /
    • 2003
  • Recently, CMP (Chemical mechanical polishing) technology has been widely used for global planarization of multi-level interconnection for ULSI applications. However, COO (cost of ownership) and COC (cost of consumables) were relatively increased because of expensive slurry. In this paper, we have studied the possibility of recycle of reused silica slurry in order to reduce the costs of CMP slurry. Also, we have collected the silica abrasive powders by filtering after subsequent CMP process for the purpose of abrasive particle recycling. And then, we annealed the collected abrasive powders to promote the mechanical strength of reduced abrasion force. Finally, we compared the CMP characteristics between self-developed KOH-based silica abrasive slurry and original slurry. As our experimental results, we obtained the comparable removal rate and good planarity with commercial products. Consequently, we can expect the saving of high cost slurry.

  • PDF

화학-기계적 연마 공정의 물질제거 메커니즘 해석 Part II: 동적 시뮬레이션 (An Analysis on the Material Removal Mechanism of Chemical-Mechanical Polishing Process Part II: Dynamic Simulation)

  • 석종원;오승희
    • 반도체디스플레이기술학회지
    • /
    • 제6권3호
    • /
    • pp.1-6
    • /
    • 2007
  • The integrated thermal-chemical-mechanical (TCM) material removal model presented in the companion paper is dynamically simulated in this work. The model is applied to a Cu CMP process for the simulation and the results of the three individual ingredients composing the model are presented separately first. These results are then incorporated to calculate the total material removal rate (MRR) of the Cu CMP. It is shown that the non-linear trend of MRR with respect to the applied mechanical power (i.e., non-Prestonian behavior), which is not well explained with the models established in principle on conventional contact mechanics, may be due to the chemical reaction(s) varying non-linearly with the temperature in the wafer.

  • PDF

ITO 박막의 연마특성과 마찰력 신호와의 상관관계 (Relationship between Frictional Signal and Polishing Characteristics of ITO Thin Film)

  • 장원문;박기현;박범영;서헌덕;김형재;정해도
    • 한국정밀공학회:학술대회논문집
    • /
    • 한국정밀공학회 2006년도 춘계학술대회 논문집
    • /
    • pp.479-480
    • /
    • 2006
  • The purpose of this paper is to investigate the relationship between CMP(Chemical Mechanical Polishing) characteristics of ITO thin film and friction signal by using the CMP monitoring system. Suba 400 pad and MSW2000 slurry of the Rohm & Haas Co. was used in this experiment to investigate the charateristics of ITO CMP. From this experiment, it is proven that the coefficient of friction is related to uniformity of the removal rate of the ITO thin film. Therefore, the prediction of polishing result would be possible by measuring friction signal.

  • PDF

입자연마가공에서의 입자 형상의 영향에 대한 고찰 (A Closer Look at the Effect of Particle Shape on Machined Surface at Abrasive Machining)

  • 김동균;성인하
    • Tribology and Lubricants
    • /
    • 제26권4호
    • /
    • pp.219-223
    • /
    • 2010
  • Despite the increasing need of nanometer-scale accuracy in abrasive machining using ultrasmall particles such as abrasive jet and chemical mechanical polishing(CMP), the process mechanism is still unknown. Based on the background, research on the effects of various process parameters on the machined surface at abrasive machining was motivated and performed by using finite element analysis where the effect of slurry fluid flow involved. The effect of particle shape on the machined surface during particle-surface collision was discussed in this paper. The results from FEA simulation revealed that any damage or defect generation on machined surface by the impact may occur only if the particle has enough impact energy. Therefore, it could be concluded that generation of the defects and damage on the wafer surface after CMP process was mainly due to direct contact of the 3 bodies, i.e., pad-particle-wafer.