• 제목/요약/키워드: 3D IC

검색결과 694건 처리시간 0.034초

TSV 기반 3D IC Pre/Post Bond 테스트를 위한 IEEE 1500 래퍼 설계기술 (IEEE 1500 Wrapper Design Technique for Pre/Post Bond Testing of TSV based 3D IC)

  • 오정섭;정지훈;박성주
    • 전자공학회논문지
    • /
    • 제50권1호
    • /
    • pp.131-136
    • /
    • 2013
  • 칩 적층기술의 발달로 TSV(Through Silicon Via) 기반 3D IC가 개발되었다. 3D IC의 높은 신뢰성과 수율을 얻기 위해서는 pre-bond 와 post-bond 수준에서 다양한 TSV 테스트가 필수적이다. 본 논문에서는 pre-bond 다이의 TSV 연결부에서 발생하는 미세한 고장과 post-bond 적층된 3D IC의 TSV 연결선에서 발생하는 다양한 고장을 테스트할 수 있는 설계기술을 소개한다. IEEE 1500 표준 기반의 래퍼셀을 보완하여 TSV 기반 3D IC pre-bond 및 post-bond의 at speed test를 통하여 known-good-die와 무결점의 3D IC를 제작하고자 한다.

Overview of 3-D IC Design Technologies for Signal Integrity (SI) and Power Integrity (PI) of a TSV-Based 3D IC

  • Kim, Joohee;Kim, Joungho
    • 한국전자파학회지:전자파기술
    • /
    • 제24권2호
    • /
    • pp.3-14
    • /
    • 2013
  • In this paper, key design issues and considerations for Signal Integrity(SI) and Power Integrity(PI) of a TSV-based 3D IC are introduced. For the signal integrity and power integrity of a TSV-based 3-D IC channel, analytical modeling and analysis results of a TSV-based 3-D channel and power delivery network (PDN) are presented. In addition, various design techniques and solutions which are to improve the electrical performance of a 3-D IC are investigated.

3D IC 열관리를 위한 TSV Liquid Cooling System (TSV Liquid Cooling System for 3D Integrated Circuits)

  • 박만석;김성동;김사라은경
    • 마이크로전자및패키징학회지
    • /
    • 제20권3호
    • /
    • pp.1-6
    • /
    • 2013
  • TSV는 그동안 3D IC 적층을 하는데 핵심 기술로 많이 연구되어 왔고, RC delay를 줄여 소자의 성능을 향상시키고, 전체 시스템 사이즈를 줄일 수 있는 기술로 각광을 받아왔다. 최근에는 TSV를 전기적 연결이 아닌 소자의 열관리를 위한 구조로 연구되고 있다. TSV를 이용한 liquid cooling 시스템 개발은 TSV 제조, TSV 디자인 (aspect ratio, size, distribution), 배선 밀도, microchannel 제조, sealing, 그리고 micropump 제조까지 풀어야 할 과제가 아직 많이 남아있다. 그러나 TSV를 이용한 liquid cooling 시스템은 열관리뿐 아니라 신호 대기시간(latency), 대역폭(bandwidth), 전력 소비(power consumption), 등에 크게 영향을 미치기 때문에 3D IC 적층 기술의 장점을 최대로 이용한 차세대 cooling 시스템으로 지속적인 개발이 필요하다.

다중(multiple) TSV-to-TSV의 임피던스 해석 (The Impedance Analysis of Multiple TSV-to-TSV)

  • 이시현
    • 전자공학회논문지
    • /
    • 제53권7호
    • /
    • pp.131-137
    • /
    • 2016
  • 본 논문에서는 기존의 2D IC의 성능을 개선하고 3D IC의 집적도와 전기적인 특성을 개선하기 위한 목적으로 연구되고 있는 TSV (Through Silicon Via)의 임피던스를 해석하였다. 향후 Full-chip 3D IC 시스템 설계에서 TSV는 매우 중요한 기술이며, 높은 집적도와 광대역폭 시스템 설계를 위해서 TSV에 대한 전기적인 특성에 관한 연구가 매우 중요하다. 따라서 본 연구에서는 Full-chip 3D IC를 설계하기 위한 목적으로 다중 TSV-to-TSV에서 거리와 주파수에 따른 TSV의 임피던스 영향을 해석하였다. 또한 이 연구 결과는 Full-chip 3D IC를 제조하기 위한 반도체 공정과 설계 툴에 적용할 수 있다.

TSV 기반 3차원 소자의 열적-기계적 신뢰성 (Thermo-Mechanical Reliability of TSV based 3D-IC)

  • 윤태식;김택수
    • 마이크로전자및패키징학회지
    • /
    • 제24권1호
    • /
    • pp.35-43
    • /
    • 2017
  • The three-dimensional integrated circuit (3D-IC) is a general trend for the miniaturized and high-performance electronic devices. The through-silicon-via (TSV) is the advanced interconnection method to achieve 3D integration, which uses vertical metal via through silicon substrate. However, the TSV based 3D-IC undergoes severe thermo-mechanical stress due to the CTE (coefficient of thermal expansion) mismatch between via and silicon. The thermo-mechanical stress induces mechanical failure on silicon and silicon-via interface, which reduces the device reliability. In this paper, the thermo-mechanical reliability of TSV based 3D-IC is reviewed in terms of mechanical fracture, heat conduction, and material characteristic. Furthermore, the state of the art via-level and package-level design techniques are introduced to improve the reliability of TSV based 3D-IC.

3차원 Hybrid IC 배치를 위한 기둥첩 블록의 층할당 (Layer Assignment of Functional Chip Blocks for 3-D Hybrid IC Planning)

  • 이평한;경종민
    • 대한전자공학회논문지
    • /
    • 제24권6호
    • /
    • pp.1068-1073
    • /
    • 1987
  • Traditional circuit partitioning algorithm using the cluster development method, which is suitable for such applications as single chip floor planning or multiple layer PCB system placement, where the clusters are formed so that inter-cluster nets are localized within the I/O connector pins, may not be appropriate for the functiona block placement in truly 3-D electronic modules. 3-D hybrid IC is one such example where the inter-layer routing as well as the intra-layer routing can be maximally incorporated to reduce the overall circuit size, cooling requirements and to improve the speed performance. In this paper, we propose a new algorithm called MBE(Minimum Box Embedding) for the layer assignment of each functional block in 3-D hybrid IC design. The sequence of MBE is as follows` i) force-directed relaxation in 3-D space, ii) exhaustive search for the optimal orientation of the slicing plane and iii) layer assignment. The algorithm is first explaines for a 2-D reduced problem, and then extended for 3-D applications. An example result for a circuit consisting of 80 blocks has been shown.

  • PDF

3D 적층 IC를 위한 웨이퍼 레벨 본딩 기술 (Wafer Level Bonding Technology for 3D Stacked IC)

  • 조영학;김사라은경;김성동
    • 마이크로전자및패키징학회지
    • /
    • 제20권1호
    • /
    • pp.7-13
    • /
    • 2013
  • 3D 적층 IC 개발을 위한 본딩 기술의 현황에 대해 알아보았다. 실리콘 웨이퍼를 본딩하여 적층한 후 배선 공정을 진행하는 wafer direct bonding 기술보다는 배선 및 금속 범프를 먼저 형성한 후 금속 본딩을 통해 웨이퍼를 적층하는 공정이 주로 연구되고 있다. 일반적인 Cu 열압착 본딩 방식은 높은 온도와 압력을 필요로 하기 때문에 공정온도와 압력을 낮추기 위한 연구가 많이 진행되고 있으며, 그 가운데서 Ar 빔을 조사하여 표면을 활성화 시키는 SAB 방식과 실리콘 산화층과 Cu를 동시에 본딩하는 DBI 방식이 큰 주목을 받고 있다. 국내에서는 Cu 열압착 방식을 이용한 웨이퍼 레벨 적층 기술이 현재 개발 중에 있다.

3D-IC 전력 공급 네트워크를 위한 최적의 전력 메시 구조를 사용한 전력 범프와 TSV 최소화 (Optimization of Power Bumps and TSVs with Optimized Power Mesh Structure for Power Delivery Network in 3D-ICs)

  • 안병규;김재환;장철존;정정화
    • 전기전자학회논문지
    • /
    • 제16권2호
    • /
    • pp.102-108
    • /
    • 2012
  • 3D-IC는 2D-IC와 비교하여 전력 공급 네트워크 설계 시에 더 큰 공급 전류와 더 많은 전력 공급 경로들 때문에 몇 가지 문제점을 가지고 있다. 전력 공급 네트워크는 전력 범프와 전력 TSV로 구성되고, 각 노드의 전압 강하는 전력 범프와 전력 TSV의 개수와 위치에 따라 다양한 값을 가지게 된다. 그래서 칩이 정상적으로 동작하기 위해서는 전압 강하 조건을 만족시키면서 전력 범프와 전력 TSV를 최적화하는 것이 중요하다. 본 논문에서는 3D-IC 전력 공급 네트워크에서 최적의 전력 메시 구조를 통한 전력 범프와 전력 TSV 최적화를 제안한다.

Research Needs for TSV-Based 3D IC Architectural Floorplanning

  • Lim, Sung Kyu
    • Journal of information and communication convergence engineering
    • /
    • 제12권1호
    • /
    • pp.46-52
    • /
    • 2014
  • This article presents key research needs in three-dimensional integrated circuit (3D IC) architectural floorplanning. Architectural floorplaning is done at a very early stage of 3D IC design process, where the goal is to quickly evaluate architectural designs described in register-transfer level (RTL) in terms of power, performance, and reliability. This evaluation is then fed back to architects for further improvement and/or modifications needed to meet the target constraints. We discuss the details of the following research needs in this article: block-level modeling, through-silicon-via (TSV) insertion and management, and chip/package co-evaluation. The goal of block-level modeling is to obtain physical, power, performance, and reliability information of architectural blocks. We then assemble the blocks into multiple tiers while connecting them using TSVs that are placed in between hard IPs and inside soft IPs. Once a full-stack 3D floorplanning is obtained, we evaluate it so that the feedback is provided back to architects.