• Title/Summary/Keyword: 하이브리드 메인 메모리 시스템

Search Result 7, Processing Time 0.026 seconds

Hybrid Main Memory Systems Using Next Generation Memories Based on their Access Characteristics (차세대 메모리의 접근 특성에 기반한 하이브리드 메인 메모리 시스템)

  • Kim, Hyojeen;Noh, Sam H.
    • Journal of KIISE
    • /
    • v.42 no.2
    • /
    • pp.183-189
    • /
    • 2015
  • Recently, computer systems have encountered difficulties in making further progress due to the technical limitations of DRAM based main memory technologies. This has motivated the development of next generation memory technologies that have high density and non-volatility. However, these new memory technologies also have their own intrinsic limitations, making it difficult for them to currently be used as main memory. In order to overcome these problems, we propose a hybrid main memory system, namely HyMN, which utilizes the merits of next generation memory technologies by combining two types of memory: Write-Affable RAM(WAM) and Read-Affable RAM(ReAM). In so doing, we analyze the appropriate WAM size for HyMN, at which we can avoid the performance degradation. Further, we show that the execution time performance of HyMN, which provides an additional benefit of durability against unexpected blackouts, is almost comparable to legacy DRAM systems under normal operations.

Page Replacement Algorithm for Improving Performance of Hybrid Main Memory (하이브리드 메인 메모리의 성능 향상을 위한 페이지 교체 기법)

  • Lee, Minhoe;Kang, Dong Hyun;Kim, Junghoon;Eom, Young Ik
    • KIISE Transactions on Computing Practices
    • /
    • v.21 no.1
    • /
    • pp.88-93
    • /
    • 2015
  • In modern computer systems, DRAM is commonly used as main memory due to its low read/write latency and high endurance. However, DRAM is volatile memory that requires periodic power supply (i.e., memory refresh) to sustain the data stored in it. On the other hand, PCM is a promising candidate for replacement of DRAM because it is non-volatile memory, which could sustain the stored data without memory refresh. PCM is also available for byte-addressable access and in-place update. However, PCM is unsuitable for using main memory of a computer system because it has two limitations: high read/write latency and low endurance. To take the advantage of both DRAM and PCM, a hybrid main memory, which consists of DRAM and PCM, has been suggested and actively studied. In this paper, we propose a novel page replacement algorithm for hybrid main memory. To cope with the weaknesses of PCM, our scheme focuses on reducing the number of PCM writes in the hybrid main memory. Experimental results shows that our proposed page replacement algorithm reduces the number of PCM writes by up to 80.5% compared with the other page replacement algorithms.

Hybrid Main Memory based Buffer Cache Scheme by Using Characteristics of Mobile Applications (모바일 애플리케이션의 특성을 이용한 하이브리드 메모리 기반 버퍼 캐시 정책)

  • Oh, Chansoo;Kang, Dong Hyun;Lee, Minho;Eom, Young Ik
    • Journal of KIISE
    • /
    • v.42 no.11
    • /
    • pp.1314-1321
    • /
    • 2015
  • Mobile devices employ buffer cache mechanisms, just as in computer systems such as desktops or servers, to mitigate the performance gap between main memory and secondary storage. However, DRAM has a problem in that it accelerates battery consumption by performing refresh operations periodically to maintain the stored data. In this paper, we propose a novel buffer cache scheme to increase the battery lifecycle in mobile devices based on a hybrid main memory architecture consisting of DRAM and non-volatile PCM. We also suggest a new buffer cache policy that allocates buffers based on process states to optimize the performance and endurance of PCM. In particular, our algorithm allocates each page to the appropriate position corresponding to the state of the application that owns the page, and tries to ensure a rapid response of foreground applications even with a small amount of DRAM memory. The experimental results indicate that the proposed scheme reduces the elapsed time of foreground applications by 58% on average and power consumption by 23% on average without negatively impacting the performance of background applications.

A Study of a Fast Booting Technique for a New memory+DRAM Hybrid Memory System (뉴메모리+DRAM 하이브리드 메모리 시스템에서의 고속부팅 기법 연구)

  • Song, Hyeon Ho;Moon, Young Je;Park, Jae Hyeong;Noh, Sam H.
    • Journal of KIISE
    • /
    • v.42 no.4
    • /
    • pp.434-441
    • /
    • 2015
  • Next generation memory technologies, which we denote as 'new memory', have both non-volatile and byte addressable properties. These characteristics are expected to bring changes to the conventional computer system structure. In this paper, we propose a fast boot technique for hybrid main memory architectures that have both new memory and DRAM. The key technique used for fast booting is write-tracking. Write-tracking is used to detect and manage modified data detection and involves setting the kernel region to read-only. This setting is used to trigger intentional faults upon modification requests. As the fault handler can detect the faulting address, write-tracking makes use of the address to manage the modified data. In particular, in our case, we make use of the MMU (Memory Management Unit) translation table. When a write occurs to the boot completed state, write-tracking preserves the original state of the modified address of the kernel region to a particular location, and execution continues. Upon booting, the fast booting process restores the preserved data to the original kernel region allowing rapid system boot-up. We develop the fast booting technique in an actual embedded board equipped with new memory. The boot time is reduced to less than half a second compared to around 15 seconds that is required for the original system.

A Comparative Study of PRAM-based Join Algorithms (PRAM 기반의 조인 알고리즘 성능 비교 연구)

  • Choi, Yongsung;On, Byung-Won;Choi, Gyu Sang;Lee, Ingyu
    • Journal of KIISE
    • /
    • v.42 no.3
    • /
    • pp.379-389
    • /
    • 2015
  • With the advent of non-volatile memories such as Phase Change Memory (PCM or PRAM) and Magneto Resistive RAM (MRAM), active studies have been carried out on how to replace Dynamic Random-Access Memory (DRAM) with PRAM. In this paper, we study both endurance and performance issues of existing join algorithms that are based on PRAM-based computer systems and have been widely used until now: Block Nested Loop Join, Sort-Merge Join, Grace Hash Join, and Hybrid Hash Join. Our experimental results show that the existing join algorithms need to be redesigned to improve both the endurance and performance of PRAMs. To the best of our knowledge, this is the first research to scientifically study the results of the four join algorithms running on PRAM-based systems. In this work, our main contribution is the modeling and implementation of a PRAM-based simulator for a comparative study of the existing join algorithms.

Design and Implementation of Query Classification Component in Multi-Level DBMS for Location Based Service (위치기반 서비스를 위한 다중레벨 DBMS에 질의 분류 컴포넌트의 설계 및 구현)

  • Jang Seok-Kyu;Eo Sang Hun;Kim Myung-Heun;Bae Hae-Young
    • The KIPS Transactions:PartD
    • /
    • v.12D no.5 s.101
    • /
    • pp.689-698
    • /
    • 2005
  • Various systems are used to provide the location based services. But, the existing systems have some problems which have difficulties in dealing with faster services for above million people. In order to solve it, a multi-level DBMS which supports both fast data processing and large data management support should be used. The multi-level DBMS with snapshots has all the data existing in disk database and the data which are required to be processed for fast processing are managed in main memory database as snapshots. To optimize performance of this system for location based services, the query classification component which classifies the queries for efficient snapshot usage is needed. In this paper, the query classification component in multi-level DBMS for location based services is designed and implemented. The proposed component classifies queries into three types: (1) memory query, (2) disk query, (3) hybrid query, and increases the rate of snapshot usage. In addition, it applies division mechanisms which divide aspatial and spatial filter condition for partial snapshot usage. Hence, the proposed component enhances system performance by maximizing the usage of snapshot as a result of the efficient query classification.