• Title/Summary/Keyword: 동적 전력관리

Search Result 102, Processing Time 0.026 seconds

Dynamic Power Management using Dynamic Frequency Scaling in Embedded System (임베디드 시스템에서 DFS 기법을 이용한 동적 전력 관리)

  • Kwon, Ki-Hyeon;Kim, Nam-Yong;Byun, Hyung-Gi
    • Journal of Digital Contents Society
    • /
    • v.10 no.2
    • /
    • pp.217-223
    • /
    • 2009
  • In order to decrease the power consumption in Embedded Linux environment based on XScale PXA255, We produce the device driver of DFS(Dynamic Frequency Scaling) technique, design and implement the middleware DFM(Dynamic Frequency Management) to scale the power of embedded target board with porting this device drive, suggest the method to reduce the Embedded system's power consumption.

  • PDF

A Dynamic Power Management System for Multiple Client in Cloud Computing Environment (클라우드 환경에서 다중 클라이언트를 위한 동적 전원관리 시스템)

  • Cha, Seung-Min;Lee, Bong-Hwan
    • Journal of the Korea Institute of Information and Communication Engineering
    • /
    • v.16 no.2
    • /
    • pp.213-221
    • /
    • 2012
  • In this paper, a dynamic power management system is proposed to reduce energy consumption for multiple clients in cloud computing environments. The proposed system monitors both keyboard and mouse input from the user, available memory, and CPU usage in the virtual machine. If the system detects no keyboard and mouse input for a certain amount of time and both available memory and CPU usage reach predefined threshold value, the manager in the virtual machine orders the client to shutdown the client machine, which results in significant power save. The developed system is applied to the real university computer lab and the performance of the system is evaluated.

Communication Event-driven Power Management for Energy Efficient Wireless Sensor Network (에너지 효율적인 무선 센서 네트워크를 위한 통신 이벤트 기반의 전력 관리 방안에 관한 연구)

  • Hwang, Kwang-Il
    • The Journal of Korean Institute of Communications and Information Sciences
    • /
    • v.32 no.7B
    • /
    • pp.411-421
    • /
    • 2007
  • It is well known that the biggest problem of wireless sensor networks is power conservation. There have been two major approaches to efficiently use energy in wireless sensor networks. One is to use a dynamic power management scheme and the other is to use energy efficient protocols. In the former, the power manager is responsible for managing the proper power state of CPU and each I/O with respect to the events, but the power manager does not concern about the internal operation of the underlying network protocols. Thus such conventional power managers can waste unpredicted power during communication period. On the other hand, the energy efficient protocols are just focused on the power saving operation of the radio PHY. In this paper, we introduce an energy-efficient power saving mechanism that can significantly reduce unwanted power consumption of wireless sensor nodes through the communication event-driven power management. We show that our scheme improves the energy conservation in the entire network through simulations.

Design and Implementation of Low-Power Technique based on Monitoring Workload on Real-Time Operating Systems (실시간 운영체제에서 작업량 관찰에 기반한 저전력 기법의 설계 및 구현)

  • Cho, Moon-Haeng;Jung, Myoung-Jo;Kim, Yong-Hee;Lee, Cheol-Hoon
    • The Journal of the Korea Contents Association
    • /
    • v.7 no.6
    • /
    • pp.69-78
    • /
    • 2007
  • In recent years, embedded mobile systems have been expanding their application domains from embedded portable devices which only execute a specialized application such as MP3 player or digital camcoder to digital convergence devices which execute more complicated applications converged various functionalities such as video and audio play, digital dictionary, DMB, games, phone, etc. As it requires the increasing hardware performance such as more faster CPU and more larger RAM, display, disk size, it has brought about a corresponding increase in power consumption. However, coupled with relatively small gains in battery capacity over recent years, the importance of software architecture including intelligent power management has become paramount. In this paper, we have ported UbiFOSTM with energy saving techniques on the ARM9-based MBA2440 platform. For energy savings, we adapted the dynamic power management and the device power management schemes based on monitoring workload. Experimental results with some well-known applications show that proposed low power technique could save energy up to 24 %.

Dynamic Reduction of KEPCO's Power Systems using DataBase (데이터베이스를 이용한 한전 계통의 동적 축약)

  • Kim, Hyung-Jun;Kim, Young-Il;Lee, Joo-Hun;Lee, Jin;Yoon, Yong-Bum;Jang, Gil-Soo
    • Proceedings of the KIEE Conference
    • /
    • 1999.11b
    • /
    • pp.264-266
    • /
    • 1999
  • 본 논문에서는 한전의 전력 계통 시뮬레이터(KEPS)에서 수행되어질 2010년 한전 계획 계통의 축약 계통을 자동으로 구성하는 프로그램의 개발에 대한 연구를 기술하였다. 먼저 한전 계통의 계통 데이터의 효율적인 관리를 위하여 데이터베이스를 구축하였고, 이를 이용하여 원계통의 데이터를 입력받아서 축약 계통의 데이터를 생성해내는 동적 축약 프로그램을 개발하였다. 동적 축약 프로그램은 각 발전기들의 특성을 분석하여 그룹화하는 식별부분과 이러한 식별과정을 거쳐서 구성된 발전기 그룹을 합쳐서 등가발전기로 구성하는 통합부분으로 이루어져 있으며 식별과정의 결과를 파일로 출력해내고 이를 수정할 수 있도록 하였다. 생성된 축약 계통과 원계통에 대해 조류 계산 및 동적 모의를 시행하여 그 응답특성을 비교하였다.

  • PDF

Low-Power Motion Estimator Architecture for Deep Sub-Micron Multimedia SoC (Deep Submicron 공정의 멀티미디어 SoC를 위한 저전력 움직임 추정기 아키텍쳐)

  • 연규성;전치훈;황태진;이성수;위재경
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.41 no.10
    • /
    • pp.95-104
    • /
    • 2004
  • This paper propose a motion estimator architecture to reduce the power consumption of the most-power-consuming motion estimation method when designing multimedia SoC with deep submicron technologies below 0.13${\mu}{\textrm}{m}$. The proposed architecture considers both dynamic and static power consumption so that it is suitable for large leakage process technologies, while conventional architectures consider only dynamic power consumption. Consequently, it is suitable for mobile information terminals such as mobile videophone where efficient power management is essential. It exploits full search method for simple hardware implementation. It also exploits early break-off method to reduce dynamic power consumption. To reduce static power consumption, megablock shutdown method considering power line noise is also employed. To evaluate the proposed architecture when applied multimedia SoC, system-level control flow and low-power control algorithm are developed and the power consumption was calculated based on thor From the simulation results, power consumption was reduced to about 60%. Considering the line width reduction and increased leakage current due to heat dissipation in chip core, the proposed architecture shows steady power reduction while it goes worse in conventional architectures.

Design and Implementation of eRTOS Real-time Operating Systems for Wearable Computers (웨어러블 컴퓨터를 위한 저전력 실시간 운영체제 eRTOS 설계 및 구현)

  • Cho, Moon-Haeng;Choi, Chan-Woo;Lee, Cheol-Hoon
    • The Journal of the Korea Contents Association
    • /
    • v.8 no.9
    • /
    • pp.42-54
    • /
    • 2008
  • In recent years, embedded systems have been expanding their application domains from traditional embedded systems such as military weapons, robots, satellites and digital convergence systems such as celluar phones, PMP(Portable Multimedia Player), PDAs(Personal Digital Assistants) to Next Generation Personal Computers(NGPCs) such as eating PCs, wearable computers. The NGPCs are network-based, human-centric digital information devices diverged from the traditional PCs used mainly for document writing, internet searching and database management. Wearable computers with battery capacity and memory size limitations have to use real-time operating systems with small footprints and low power management techniques to provide user's QoS in spite of hardware constraints. In this paper, we have designed and implemented a low-power RTOS (called eRTOS) for wearable computers. The implemented eRTOS has 18KB footprints and the dynamic power management and the device power management schemes are adapted in it. Experimental results with wearable computer applications show that the low power techniques could save energy up to 47 %.

Development of reservoir operation rule curve using DP for max hydro-power generation of power station in the Nam Ngum River in Lao PDR. (라오스 남능강 발전소의 최대전력생산을 위해 동적계획법을 활용하여 저수지 운영규정곡선 개발)

  • Lee, Hyun Jae;Jang, Woong Chul;Lee, Il Ju;Lee, Jin Hee
    • Proceedings of the Korea Water Resources Association Conference
    • /
    • 2021.06a
    • /
    • pp.106-106
    • /
    • 2021
  • 라오스 남능강 유역에는 현재 11개의 수력 발전 댐이 운영되고 있으며 최근에 설치된 댐을 제외하고는 오래전에 개발된 운영규정 곡선에 근거하여 운영하고 있다. 즉 댐의 증설에 따른 하천 유량의 변동과 발전소의 설비용량증설 등의 발전환경이 충분히 반영되지 못한 상태로 운영하고 있으며, 이로 인해 통합수자원 관리의 최적화 차원의 일환으로 최대 전력생산을 위한 새로운 저수지 운영규정 곡선 개발이 필요하게 되었다. 저수지 운영규정 곡선(reservoir operation rule curve)은 일반적으로 주어진 저수지의 규모와 저수지로의 유입량 그리고 발전소의 설비용량 등의 발전환경을 종합적으로 고려하여 연간 발전량을 최대로 생산할 수 있도록 최적화 기법 등을 활용하여 개발한다. 본 고에서는 이를 위해 범용적인 동적 계획법(Dynamic Programming:DP)프로그램인 CSUDP를 활용하여 최초의 최적 저수지 운영규정 곡선을 제시하고 이에 의한 발전량을 모의하기 위해 HEC-ResSim package를 활용하였으며, 최종적으로는 기존의 운영규정 곡선, 운영실적, 그리고 현장 운영자의 의견 등을 종합적으로 고려하여 댐 운영 시나리오(단독, 연결, 댐별 상업 발전 시기 등)별로 운영규정 곡선을 개발하고 라오스 정부(EdL)에 제시하였다.

  • PDF

Design and Implementation of DPM Middleware supporting for Embedded System (임베디드 시스템을 위한 동적 전력관리 미들웨어 설계 및 구현)

  • Lee, Su-Il;Kwon, Ki-Hyeon;Byun, Hyung-Gi;Kim, Nam-Yong;Lee, Gyoo-Young
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2007.05a
    • /
    • pp.633-636
    • /
    • 2007
  • 다양한 휴대용 정보 기기의 사용이 보편화됨에 따라 배터리 기반의 임베디드 시스템에서는 저전력 요구가 크게 대두되고 있다. 이에 본 논문은 Xscale PXA255 기반 Embedded Linux 환경에서 전력 소비를 줄이기 위해 DPM(Dynamic Power Management) 미들웨어를 설계 및 구현하고 이에 따른 디바이스 드라이버를 제작하여 임베디드 타겟보드의 전력을 감소시키는 방법을 보인다.

  • PDF

Low Power SoC Technology Wireless Terminals (저전력 무선단말 SoC 기술)

  • Hyun, S.B.;Kang, S.W.;Eum, N.W.
    • Electronics and Telecommunications Trends
    • /
    • v.23 no.6
    • /
    • pp.92-101
    • /
    • 2008
  • 전원관리 및 전력소모 절감 기술은 휴대폰, 노트북 등의 휴대 기기 사용이 보편화되고 다기능화, 고성능화함에 따라 지속적으로 발전해 왔다. 특히 반도체 소자의 선폭이 나노미터급으로 초미세화 됨에 따라 누설 전류가 급증하고 칩의 처리 성능을 높이기 위해 클록 주파수를 높이면서 스위칭 전류 소모도 증가하므로, 이러한 동적/정적 전력소모 증가를 억제시킬 수 있는 다중 문턱전압 소자, DVFS, sub-threshold, 클록 게이팅, 저전압 회로 기술이 SoC 설계에 점진적으로 적용되고 있다. 이에 본 고에서는 휴대폰용 부품을 중심으로, 무선 통신 기능을 갖춘 기기의 전력소모 요인을 분석하고 배터리 사용시간을 연장시킬 수 있는 저전력 SoC 기술 동향을 살펴보고자 한다.