• Title/Summary/Keyword: 고장 테스트

Search Result 225, Processing Time 0.026 seconds

Testing of CMOS Operational Amplifier Using Offset Voltage (오프셋 전압을 이용한 CMOS 연산증폭기의 테스팅)

  • Song, Geun-Ho;Kim, Gang-Cheol;Han, Seok-Bung
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.38 no.1
    • /
    • pp.44-54
    • /
    • 2001
  • In this paper, a novel test method is proposed to detect the hard and soft fault in analog circuits. The proposed test method makes use of the offset voltage, which is one of the op-amps characteristics. During the test mode, CUT is modified to unit gain op-amps with feedback loop. When the input of the op-amp is grounded, a good circuit has a small offset voltage, but a faulty circuit has a large offset voltage. Faults in the op-amp which cause the offset voltage exceeding predefined range of tolerance can be detected. In the proposed method, no test vector is required to be applied. Therefore the test vector generation problem is eliminated and the test time and cost is reduced. In this note, the validity of the proposed test method has been verified through the example of the dual slope A/D converter. The HSPICE simulations results affirm that the presented method assures a high fault coverage.

  • PDF

Diagnostic System for PC Motherboard (PC보드 진단 시스템 설계)

  • Lee, Woo-Young;Kim, Chang-Hoon;Choi, Young-Woong;Lee, Jae-Hoon;Kim, Seung-Jun
    • Proceedings of the Korea Multimedia Society Conference
    • /
    • 2012.05a
    • /
    • pp.99-101
    • /
    • 2012
  • 산업현장에서 최종 생산품의 신뢰성 검증단계에 사용되는 PC기반 테스트 장비들은 생산제품의 특성에 따라 인위적인 과전압 인가, 고/저온 테스트, 잦은 강제 셧다운 등 많은 스트레스로 인해 잦은 고장과 오동작을 일으킨다. 테스트 장비의 고장은 생산성 저하와 직결됨으로 신속한 고장원인의 파악을 위한 진단 시스템이 요구된다. 본 논문에서는 테스트 장비의 하드웨어 상태를 효율적으로 진단할 수 있는 하드웨어와 지식정보기반 진단결과의 분석을 통한 오류원인 및 불량파트의 범위를 줄여줄 수 있는 분석 소프트웨어를 제안한다.

  • PDF

Efficient Delay Test Algorithm for Sequential Circuits (순차 회로를 위한 효율적인 지연 고장 테스트 알고리듬)

  • Huh, Kyung-Hoi;Kang, Yong-Seok;Kang, Sung-Ho
    • Proceedings of the KIEE Conference
    • /
    • 1999.11c
    • /
    • pp.833-835
    • /
    • 1999
  • 지연 고장 테스트는 디지털 회로의 정확한 동작을 보장하기 위해서 필수적이다. 그러나 순차 회로에는 상태 레지스터들이 존재하기 때문에, 지연 고장을 검출하는 것이 쉽지 않다. 이러한 난점을 해결하기 위해 본 논문에서는 역기능적 지정 방법을 좀 더 효율적으로 적용할 수 있는 테스트 알고리듬을 제안한다. ISCAS89 벤치마크 회로에 대한 실험 결과, 테스트 가능한 경로의 수를 기존의 스캔 기법들에 비해 크게 향상시킬 수 있다는 것을 알 수 있다.

  • PDF

An Analysis of Random Built-In Self Test Techniques for Embedded Memory Chips (내장된 메모리 테스트를 위한 랜덤 BIST의 비교분석)

  • 김태형;윤수문;김국환;박성주
    • Proceedings of the IEEK Conference
    • /
    • 1999.11a
    • /
    • pp.935-938
    • /
    • 1999
  • 메모리 테스트는 Built-In Self Test(BIST)와 같이 메모리에 내장된 회로를 통하여 자체 점검하는 방법과 테스터를 통하여 생성된 패턴을 주입하는 방법이 있다. 테스트 패턴 생성방법으로는 각각의 고장모델에 대한 테스트 패턴을 deterministic하게 생성해주는 방법과 Pseudo Random Pattern Generator(PRPG)를 이용하여 생성하는 경우로 구분할 수 있다. 본 연구에서는 PRPG를 패턴 생성기로 사용하여 여러 가지 메모리의 결함을 대표한다고 볼 수 있는 Static 및 Dynamic Neighborhood Pattern Sensitive Fault(NPSF) 등 다양한 종류의 고장을 점검할 수 있도록 메모리 BIST를 구성하였다. 기존의 Linear Feedback Shift Register(LFSR)보다 본 연구에서 제안하는 Linear Hybrid Cellular Automata(LHCA)를 이용한 PRPG가 높고 안정된 고장 점검도를 나타내었다.

  • PDF

Object Oriented Fault Detection for Fault Models of Current Testing (전류 테스팅 고장모델을 위한 객체기반의 고장 검출)

  • Bae, Sung-Hwan;Han, Jong-Kil
    • The Journal of the Korea institute of electronic communication sciences
    • /
    • v.5 no.4
    • /
    • pp.443-449
    • /
    • 2010
  • Current testing is an effective method which offers higher fault detection and diagnosis capabilities than voltage testing. Since current testing requires much longer testing time than voltage testing, it is important to note that a fault is untestable if the two nodes have same values at all times. In this paper, we present an object oriented fault detection scheme for various fault models using current testing. Experimental results for ISCAS benchmark circuits show the effectiveness of the proposed method in reducing the number of faults and its usefulness in various fault models.

Efficient Interconnect Test Patterns and BIST Implementation for Crosstalk and Static Faults (Crosstalk과 정적 고장을 고려한 효과적인 연결선 테스트 알고리즘 및 BIST 구현)

  • Min Pyoungwo;Yi Hyunbean;Song Jaehoon;Park Sungju
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.42 no.7 s.337
    • /
    • pp.37-44
    • /
    • 2005
  • This paper presents effective test patterns and their BIST implementations for SoC and Board interconnects. Initially '6n'algorithm, where 'n' is the total number of interconnect nets, is introduced to completely detect and diagnose both static and crosstalk faults. Then, more economic 4n+1 algorithm is described to perfectly capture the crosstalk faults for the interconnect nets separated within a certain distance. It will be shown that both algorithms can be easily implemented as interconnect BIST hardwares with small area penalty than conventional LFSR.

IEEE 1500 Wrapper and Test Control for Low-Cost SoC Test (저비용 SoC 테스트를 위한 IEEE 1500 래퍼 및 테스트 제어)

  • Yi, Hyun-Bean;Kim, Jin-Kyu;Jung, Tae-Jin;Park, Sung-Ju
    • Journal of the Institute of Electronics Engineers of Korea SD
    • /
    • v.44 no.11
    • /
    • pp.65-73
    • /
    • 2007
  • This paper introduces design-for-test (DFT) techniques for low-cost system-on-chip (SoC) test. We present a Scan-Test method that controls IEEE 1500 wrapper thorough IEEE 1149.1 SoC TAP (Test Access Port) and design an at-speed test clock generator for delay fault test. Test cost can be reduced by using small number of test interface pins and on-chip test clock generator because we can use low-price automated test equipments (ATE). Experimental results evaluate the efficiency of the proposed method and show that the delay fault test of different cores running at different clocks test can be simultaneously achieved.

An Effective Cache Test Algorithm and BIST Architecture (효율적인 캐쉬 테스트 알고리듬 및 BIST 구조)

  • Kim, Hong-Sik;Yoon, Do-Hyun;Kang, Sing-Ho
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.36C no.12
    • /
    • pp.47-58
    • /
    • 1999
  • As the performance of processors improves, cache memories are used to overcome the difference of speed between processors and main memories. Generally cache memories are embedded and small sizes, fault coverage is a more important factor than test time in testing point of view. A new test algorithm and a new BIST architecture are developed to detect various fault models with a relatively small overhead. The new concurrent BIST architecture uses the comparator of cache management blocks as response analyzers for tag memories. A modified scan-chain is used for pre-testing of comparators which can reduce test clock cycles. In addition several boundary scan instructions are provided to control the internal test circuitries. The results show that the new algorithm can detect SAFs, AFs, TFs linked with CFs, CFins, CFids, SCFs, CFdyns and DRFs models with O(12N), where N is the memory size and the new BIST architecture has lower overhead than traditional architecture by about 11%.

  • PDF

An BIST for Mixed Signal Circuits (혼성회로를 위한 BIST설계)

  • Bahng, Geum-Hwan;Kang, Sung-Ho;Lee, Young-Hee
    • Proceedings of the Korea Information Processing Society Conference
    • /
    • 2001.10b
    • /
    • pp.1459-1462
    • /
    • 2001
  • 혼성 신호 회로의 설계에 있어 저비용의 고효율 테스트 효율을 보장하기 위해 테스트의 노력은 계속되어 왔다. 특히 테스트를 고려한 BIST(built-in-self-test)설계 방법으로 발전해가고 있는 추세인데, 회로상에서 전체적인 테스트 용이도와 분석에 있어 보다 향상된 방법으로 접근할 수 있고 이러한 시스템에 대해 분석하는데 수월하게 할 수도 있다. 이 논문에서는 효과적인 테스트를 위한 방법을 위해 전압 검출기를 이용한 기준 전압 DC 테스트로써 테스트시간을 감소시키고 효과적인 고장 검출률을 갖는 BIST를 구현하는 것을 제안하였다. 즉 정상적인 회로와 고장회로에서의 동작에서 전압의 파이를 검출하는 회로를 하드웨어상으로 구성함으로써 비용과 시간등을 효과적으로 줄이는 방법을 제안하였다. 실험 결과에서는 기존의 BIST와 비교하여 향상된 것을 나타낸다.

  • PDF

A Study on Efficient Test Methodologies on Dual-port Embedded Memories (내장된 이중-포트 메모리의 효율적인 테스트 방법에 관한 연구)

  • Han, Jae-Cheon;Yang, Sun-Woong;Jin, Myoung-Gu;Chang, Hoon
    • Journal of the Korean Institute of Telematics and Electronics C
    • /
    • v.36C no.8
    • /
    • pp.22-34
    • /
    • 1999
  • In this paper, an efficient test algorithm for embedded dual-port memories is presented. The proposed test algorithm can be used to test embedded dual-port memories faster than the conventional multi-port test algorithms and can be used to completely detect stuck-at faults, transition faults and coupling faults which are major target faults in embedded memories. Also, in this work, BIST which performs the proposed memory testing algorithm is designed using Verilog-HDL, and simulation and synthesis for BIST are performed using Cadence Verilog-XL and Synopsys Design-Analyzer. It has been shown that the proposed test algorithm has high efficiency through experiments on various size of embedded memories.

  • PDF