Browse > Article
http://dx.doi.org/10.6109/jkiice.2022.26.10.1537

Lightweighted CTS Preconstruction Techniques for Checking Clock Tree Synthesizable Paths in RTL Design Time  

Kwon, Nayoung (School of Electronic Engineering, Kyungpook National University)
Park, Daejin (School of Electronic Engineering, Kyungpook National University)
Abstract
When designing chip, it considers design specification, timing problem, and clock synchronization on place & route (P&R) process. P&R process is complicated because of considering various factors. Chip uses clock tree synthesis (CTS) to reduce clock path delay. The purpose of this study is to examine shallow-CTS algorithm for checking clock tree synthesizable. Using open source Parser-Verilog, register transfer level (RTL) synthesizable Verilog file is parsed and it uses Pre-CTS and Post-CTS process that is included shallow-CTS. Based on longest clock path in the Pre-CTS and Post-CTS stages, the standard deviation before and after buffer insertion is compared and analyzed for the accuracy of CTS. In this paper, It is expected that the cost and time problem could be reduced by providing a pre-clock tree synthesis verification method at the RTL level without confirming the CTS result using the time-consuming licensed EDA tool.
Keywords
Register transfer level; Clock tree synthesis; Synthesizable; Pre-CTS; Post-CTS;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Y. Cai, C. Deng, Q. Zhou, H. Yao, F. Niu, and C. N. Sze, "Obstacle-Avoiding and Slew-Constrained Clock Tree Synthesis With Efficient Buffer Insertion," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 23, no. 1, pp. 142-155, Jan. 2015.   DOI
2 J. Li, P. Wan, B. Guan, L. Su, P. Ma, and Z. Chen, "An Efficient Clock Tree Synthesis Method with Manual Hierachical Operation," in IEEE International Conference on Anti-counterfeiting, Security, and Identification (ASID), Xiamen, China, pp. 204-207, 2018.
3 Parser-Verilog. About Parser-Verilog [Internet]. Available: https://github.com/OpenTimer/Parser-Verilog.
4 N. Kwon and D. Park, "Lightweight Buffer Insertion for Clock Tree Synthesis Visualization," in Proceedings of 2022 International Conference on Electronics, Information, and Communication (ICEIC), Jeju, Korea, pp. 1-3, 2022.
5 C. Chang, S. Huang, Y. Ho, J. Lin, H. Wang, and Y. Lu, "Type-matching clock tree for zero skew clock gating," in ACM/IEEE Design Automation Conference (DAC), Anaheim: CA, USA, pp. 714-719, 2008.
6 Qflow. Open source digital synthesis flow [Internet]. Available: http://opencircuitdesign.com/qflow/.
7 Yosys. Open synthesis suite [Internet]. Available: https://yosyshq.net/yosys/.
8 N. Avdalyan and K. Petrosyan, "Processing An Effective Method For Clock Tree Synthesis," in IEEE East-West Design & Test Symposium (EWDTS), Batumi, Georgia, pp. 1-5, 2019.
9 S. Ravi, S. Trehan, M. Jain, and H. M. Kittur, "High Performance Clock Path elements for Clock Skew reduction," in Proceedings of International Conference on Intelligent Computing, Instrumentation and Control Technologies (ICICICT), Kannur, India, pp. 1663-1670, 2019.
10 IEEE Std. 1497(TM)-2001, Delay and Power Calculation Standards - Part 3: Standard Delay Format (SDF) for the Electronic Design Process, IEC 61523-3 First edition 2004-09, pp. 1-88, Nov. 2004.