Browse > Article

Timing Window Shifting by Gate Sizing for Crosstalk Avoidance  

Zang, Na-Eun (Department of Computer Science and Egineering, Sogang University)
Kim, Ju-Ho (Department of Computer Science and Egineering, Sogang University)
Publication Information
Abstract
This paper presents an efficient heuristic algorithm to avoid crosstalk which effects to delay of CMOS digital circuit by downsizing and upsizing of Gate. The proposed algorithm divide into two step, step1 performs downsizing of gate, step2 performs upsizing, so that avoid adjacent aggressor to critical path in series. The proposed algorithm has been verified on LGSynth91 benchmark circuits and Experimental results show an average 8.64% Crosstalk Avoidance effect. This result proved new potential of proposed algorithm.
Keywords
crosstalk; optimization; timing; analysis; estimation;
Citations & Related Records
연도 인용수 순위
  • Reference
1 Donald Chai, Alex Kondratyev, Yajun Ran, Kenneth H. Tseng, Yosinori Watanabe, Malgorzata Marek-Sadowska, 'Temporo functional Crosstalk Noise Analysis', on Cadence Design System, in DAC 2003, June 2-6, Anaheim, California, USA
2 J. Kim, C. Bamji, Y. Jiang, and S. Sapatnekar, , 'Concurrent Transistor Sizing and Buffer Insertion by Considering Cost-Delay Tradeoffs',, in Proceedings of the International Symposium on Physical Design, pp. 130-135, April 1997
3 Sachin S. Sapatnekar and Weitong Chuang, , 'Power vs Delay in Gate Sizing: Conflicting Objectives?', in Proceedings of the 1995 IEEE/ACM International Conference of Computer-Aided Design, pp.463-466, 1995
4 M. Hashimoto, H. Onodera, and K. Tamaru, 'A Power Optimization Method Considering Glitch Reduction by Gate Sizing', in Proceedings of the Inter national Symposium on Low Power Design, pp. 221-226, August 1998
5 Pinhong Chen, Yuji Kukimoto, Kurt Keutzer, 'Refining Switching Window by Time Slots for Crosstalk Noise Calculation', on Dept. of EECS, U.C. Berkeley, Cadence Design System Inc, in 2002 IEEE
6 E. M. Sentovich, K. J. Singh, L. Lavagno, C. Moon, R. Murgai, A. Saldanha, H. Savoj, P. R. Stephan, R. K. Brayton, and A .L. Sangiovanni- Vincentelli. 'SIS: A system for sequential circuit synthesis, Technical Report', UCB/ERL M92/41, Electronics Research Lab, University of California at Berkeley, 1992
7 Tong Xiao, Malgorzata Marek-Sadowska 'Worst Delay Estimation in Crosstalk Aware Static Timing Analysis' on Department of Electrical and Computer Engineering University of California, in 2000 IEEE
8 Yasuhiko Sasaki, Giovanni De Micheli, 'Crosstalk Delay Analysis using Relative Window Method' on stanford University Computer System Laboratory, in 1999 IEEE
9 Jae-Seok Yang, Jeong-Yeol Kim, Joon-Ho Choi, Moon-Hyun Yoo, Jeong-Taek Kong, 'Elimination of false aggressors using the functional relationship for full-chip crosstalk analysis' on CAE team, Memory Division, Dept. of Device solution Network, Samsung Electronics, in 2003 IEEE
10 Pinhong Chen, Kurt Keutzer, 'Toward True Crosstalk Noise Analysis' on Dept. of EECS, Univ. of California at Berkeley, in 1999 IEEE
11 A.Rubio, N.Itazaki, X.Zu, and K.Kinoshita. 'An Approach to the Analysis and Detection of Crosstalk Faults in Digital VLSI Circuits'. IEEE Trans. on Computer-Aided Design, 13:387-394, Mar. 1994   DOI   ScienceOn