DOI QR코드

DOI QR Code

반도체 소자용 산화하프늄 기반 강유전체의 원자층 증착법 리뷰

Review on Atomic Layer Deposition of HfO2-based Ferroelectrics for Semiconductor Devices

  • 이영환 (서울대학교 신소재공동연구소) ;
  • 권태규 (서울대학교 재료공학부) ;
  • 박민혁 (서울대학교 신소재공동연구소)
  • Lee, Younghwan (Research Institute of Advanced Materials, Seoul National University) ;
  • Kwon, Taegyu (Department of Materials Science and Engineering, College of Engineering, Seoul National University) ;
  • Park, Min Hyuk (Research Institute of Advanced Materials, Seoul National University)
  • 투고 : 2022.09.30
  • 심사 : 2022.10.28
  • 발행 : 2022.10.31

초록

Since the first report on ferroelectricity in Si-doped hafnia (HfO2), this emerging ferroelectrics have been considered promising for the next-generation semiconductor devices with their characteristic nonvolatile data storage. The robust ferroelectricity in the sub-10-nm thickness regime has been proven by numerous research groups. However, extending their scalability below the 5 nm thickness with low temperature processes compatible with the back-end-of-line technology. In this review, therefore, the current status, technical issues, and their potential solutions of atomic layer deposition (ALD) of HfO2-based ferroelectrics are comprehensively reviewed. Several technical issues in the physical scaling of the ferroelectric thin films and potential solutions including advanced ALD techniques including discrete feeding ALD, atomic layer etching, and area selective ALD are introduced.

키워드

과제정보

이 연구는 서울대학교 신임교수 연구정착금으로 지원되는 연구비에 의하여 수행되었음.

참고문헌

  1. M. H. Park,Y. H. Lee, H. J. Kim, Y. J. Kim, T. Moon, K. D. Kim, J. Muller, A. Kersch, U. Schroeder, T. Mikolajick, C. S. Hwang, Ferroelectricity and antiferroelectricity of doped thin HfO2-based films, Adv. Mater., 27 (2015) 1811-1831. https://doi.org/10.1002/adma.201404531
  2. T. S. Boscke, J. Muller, D. Brauhaus, U. Schroder, U. Bottger, Ferroelectricity in hafnium oxide thin films, Appl. Phys. Lett., 99 (2011) 102903. https://doi.org/10.1063/1.3634052
  3. O. Ohtaka, H. Fukui, T.Kunisada, T. Fujisawa, K. Funakoshi, W. Utsumi, T. Irifune, K. Kuroda, T. Kikegawa, Phase relations and volume changes of hafnia under high pressure and high temperature, J. Am. Ceram. Soc., 84 (2004) 1369-1373. https://doi.org/10.1111/j.1151-2916.2001.tb00843.x
  4. J. Muller, E. Yurchuk, T. Schlosser, J. Paul, R. Hoffmann, S. Muller, D. Martin, S. Slesazeck, P. Polakowski, J. Sundqvist, M. Czernohorsky, K. Seidel, P. Kucher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schroder, T. Mikolajick, Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG, 2012 Symp. VLSI Technol., (2012) 25-26.
  5. J. Muller, T. S. Boscke, S. Muller, E. Yurchuk, P. Polakowski, J. Paul, D. Martin, T. Schenk, K. Khullar, A. Kersch, W. Weinreich, S. Riedel, K. Seidel, A. Kumar, T. M. Arruda, S. V. Kalinin, T. Schlosser, R. Boschke, R. van Bentum, U. Schroder, T. Mikolajick, Ferroelectric hafnium oxide: a CMOS-compatible and highly scalable approach to future ferroelectric memories, 2013 IEEE Int. Electron Devices Meet., (2013) 10.8.1-10.8.4.
  6. K. Florent, M. Pesic, A. Subirats, K. Banerjee, S. Lavizzari, A. Arreghini, L. Di Piazza, G. Potoms, F. Sebaai, S. R. C. McMitchell, M. Popovici, G. Groeseneken, J. Van Houdt, Vertical ferroelectric HfO2 FET based on 3-D NAND architecture: towards dense low-power memory, 2018 IEEE Int. Electron Devices Meet., (2018) 2.5.1-2.5.4.
  7. J. Okuno, T. Kunihiro, K. Konishi, H. Maemura, Y. Shuto, F. Sugaya, M. Materano, T. Ali, K. Kuehnel, K. Seidel, U. Schroeder, T. Mikolajick, M. Tsukamoto, T. Umebayashi, SoC compatible 1T1C FeRAM memory array based on ferroelectric Hf0.5Zr0.5O2, 2020 IEEE Symp. VLSI Technol., (2020) 1-2.
  8. S. C. Chang, N. Haratipour, S. Shivaraman, T. L Brown-Heft, J. Peck, C. C. Lin, I. C. Tung, D. R Merrill, H. Liu, C. Y. Lin, F. Hamzaoglu, M. V Metz, I. A Young, J. Kavalieros, U. E Avci, Anti-ferroelectric HfxZr1-xO2 capacitors for High-density 3-D Embedded-DRAM, 2020 IEEE Int. Electron Devices Meet., (2020) 28.1.1-28.1.4.
  9. M. Sung, K. Rho, J. Kim, J. Cheon, K. Choi, D. Kim, H. Em, G. Park, J. Woo, Y. Lee, J. Ko, M. Kim, G. Lee, S. W. Ryu, D. S. Sheen, Y. Joo, S. Kim, C. H. Cho, M. H. Na, J. Kim, Low voltage and high speed 1Xnm 1T1C FE-RAM with ultra-thin 5nm HZO, 2021 IEEE Int. Electron Devices Meet., (2021) 33.3.1-33.3.4.
  10. S. Yoon, S. I. Hong, G. Choi, D. Kim, I. Kim, S. M. Jeon, C. Kim, K. Min, Highly stackable 3D ferroelectric NAND devices: beyond the charge trap based memory, 2022 IEEE Int. Memory Workshop (2022) 1-4.
  11. S. Fujii, Y. Kamimuta, T. Ino, Y. Nakasaki, R. Takaishi, M. Saitoh, First demonstration and performance improvement of ferroelectric HfO2-based resistive switch with low operation current and intrinsic diode property, 2016 IEEE Symp. VLSI Technol., (2016) 1-2.
  12. V. Cremers, R. L. Puurunen, J. Dendooven, Conformality in atomic layer deposition: Current status overview of analysis and modelling, Appl. Phys. Rev., 6 (2019) 021302. https://doi.org/10.1063/1.5060967
  13. H. Kim, Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing, J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.--Process., Meas., Phenom., 21 (2003) 2231.
  14. H. A. Hsain, Y. Lee, M. Materano, T. Mittmann, A. Payne, T. Mikolajick, U. Schroeder, G. N. Parsons, J. L. Jones, Many routes to ferroelectric HfO2: A review of current deposition methods, J. Vac. Sci. Technol., A 40 (2022) 010803. https://doi.org/10.1116/6.0001317
  15. A. Chouprik, D. Negrov, E. Y. Tsymbal, A. Zenkevich, Defects in ferroelectric HfO2, Nanoscale, 13 (2021) 11635-11678. https://doi.org/10.1039/D1NR01260F
  16. M. Materano, P. D. Lomenzo, A. Kersch, M. H. Park, T. Mikolajick, U. Schroeder, Interplay between oxygen defects and dopants: effect on structure and performance of HfO2-based ferroelectrics, Inorg. Chem. Front., 8 (2021) 2650-2672. https://doi.org/10.1039/D1QI00167A
  17. K. D. Kim, M. H. Park, H. J. Kim, Y. J. Kim, T. Moon, Y. H. Lee, S. D. Hyun, T. Gwon, C. S. Hwang, Ferroelectricity in undoped-HfO2 thin films induced by deposition temperature control during atomic layer deposition, J. Mater. Chem., C 4 (2016) 6864-6872. https://doi.org/10.1039/C6TC02003H
  18. J. Liao, B. Zeng, Q. Sun, Q. Chen, M. Liao, C. Qiu, Z. Zhang, Y. Zhou, Grain size engineering of ferroelectric Zr-doped HfO2 for the highly scaled devices applications, IEEE Electron Device Lett., 40 (2019) 1868-1871. https://doi.org/10.1109/LED.2019.2944491
  19. B. S. Kim, S. D. Hyun, T. Moon, K. D. Kim, Y. H. Lee, H. W. Park, Y. B. Lee, J. Roh, B. Y. Kim, H. H. Kim, M. H. Park, C. S. Hwang, A comparative study on the ferroelectric performances in atomic layer deposited Hf0.5Zr0.5O2 thin films using tetrakis(ethylmethylamino) and tetrakis(dimethylamino) precursors, Nanoscale Res. Lett., 15 (2020) 72. https://doi.org/10.1186/s11671-020-03301-4
  20. M. Materano, T. Mittmann, P. D. Lomenzo, C. Zhou, J. L. Jones, M. Falkowski, A. Kersch, T. Mikolajick, U. Schroeder, Influence of oxygen content on the structure and reliability of ferroelectric HfxZr1-xO2 layers, ACS Appl. Electron. Mater., 2 (2020) 3618-3626. https://doi.org/10.1021/acsaelm.0c00680
  21. T. Mittmann, M. Materano, S. C. Chang, I. Karpov, T. Mikolajick, U. Schroeder, Impact of oxygen vacancy content in ferroelectric HZO films on the device performance, 2020 IEEE Int. Electron Devices Meet., (2020) 18.4.1-18.4.4.
  22. J. Y. Park, K. Yang, D. H. Lee, S. H. Kim, Y. Lee, P. R. S. Reddy, J. L. Jones, M. H. Park, A perspective on semiconductor devices based on fluorite-structured ferroelectrics from the materials-device integration perspective, J. Appl. Phys., 128 (2020) 240904. https://doi.org/10.1063/5.0035542
  23. M. L. Green, M. Y. Ho, B. Busch, G. D. Wilk, T. Sorsch, T. Conard, B. Brijs, W. Vandervorst, P. I. Raisanen, D. Muller, M. Bude, J. Grazul, Nucleation and growth of atomic layer deposited HfO2 gate dielectric layers on chemical oxide (Si-O-H) and thermal oxide (SiO2 or Si-O-N) underlayers. J. Appl. Phys., 92 (2002) 7168-7174. https://doi.org/10.1063/1.1522811
  24. Y. Han, H. Duan, C. Zhou, H. Meng, Q. Jiang, B. Wang, W. Yan, R. Zhang, Stabilizing cobalt single atoms via flexible carbon membranes as bifunctional electrocatalysts for binder-free zinc-air Batteries, Nano Lett., 22 (2022) 2497-2505. https://doi.org/10.1021/acs.nanolett.2c00278
  25. 김호현, Discrete Feeding Method 활용을 통한 Hf1-xZrxO2 박막의 전기적 특성 향상 연구, 서울대학교 대학원, 서울, (2020) 44, 75
  26. Suraj S Cheema et al, Ultrathin ferroic HfO2-ZrO2 superlattice gate stack for advanced transistors, Nature 604 (2022) 65-71. https://doi.org/10.1038/s41586-022-04425-6
  27. D. Lehninger, R. Olivo, T. Ali, M. Lederer, T. Kampfe, C. Mart, K. Biedermann, K. Kuhnel, L. Roy, M. Kalkani, K. Seidel, Back-end-of-line compatible low-temperature furnace anneal for ferroelectric hafnium zirconium oxide formation, Phys. Status Solidi, A 217 (2020) 1900840. https://doi.org/10.1002/pssa.201900840
  28. Y. Lee, S. M. George, Thermal atomic layer etching of HfO2 using HF for fluorination and TiCl4 for ligand-exchange, J. Vac. Sci. Technol., A 36 (2018) 061504 . https://doi.org/10.1116/1.5045130
  29. M. Hoffmann, J. A. Murdzek, S. M. George, S. Slesazeck, U. Schroeder, T. Mikolajick, Atomic layer etching of ferroelectric hafnium zirconium oxide thin films enables giant tunneling electroresistance, Appl. Phys. Lett, 120 (2022) 122901. https://doi.org/10.1063/5.0084636
  30. N. Gong, T. P. Ma, A study of endurance issues in HfO2-based ferroelectric field effect transistors: charge trapping and trap generation, IEEE Electron Device Lett., 39 (2018) 15-18. https://doi.org/10.1109/LED.2017.2776263
  31. K. Ni, P. Sharma, J. Zhang, M. Jerry, J. A. Smith, K. Tapily, R. Clark, S. Mahapatra, S. Datta, Critical role of interlayer in Hf0.5Zr0.5O2 ferroelectric FET nonvolatile memory performance, IEEE Trans. Electron Devices, 65 (2018) 2461-2469. https://doi.org/10.1109/TED.2018.2829122
  32. T. Ali, P. Polakowski, S. Riedel, T. Buttner, T. Kampfe, M. Rudolph, B. Patzold, K. Seidel, D. Lohr, R. Hoffmann, M. Czernohorsky, K. Kuhnel, P. Steinke, J. Calvo, K. Zimmermann, J. Muller, High endurance ferroelectric hafnium oxide-based FeFET memory without retention penalty, IEEE Trans. Electron Devices, 65 (2018) 3769-3774. https://doi.org/10.1109/TED.2018.2856818
  33. A. J. Tan, Y. H. Liao, L. C. Wang, N. Shanker, J. H. Bae, C. Hu, S. Salahuddin, Ferroelectric HfO2 memory transistors with High-κ interfacial layer and write endurance exceeding 1010 cycles, IEEE Electron Device Lett., 42 (2021) 994-997. https://doi.org/10.1109/LED.2021.3083219
  34. C. Y. Chan, K. Y. Chen, H. K. Peng, Y. H. Wu, FeFET memory featuring large memory window and robust endurance of long-pulse cycling by interface engineering using high-k AlON, 2020 Symp. VLSI Technol., (2020) 1-2.
  35. S. H. Kim, G. T. Yu, G. H. Park, D. H. Lee, J. Y. Park, K. Yang, E. B. Lee, J. I. Lee, M. H. Park, Interfacial engineering of a Mo/Hf0.3Zr0.7O2/Si capacitor using the direct scavenging effect of a thin Ti layer, Chem. Commun, 57 (2021), 12452-12455. https://doi.org/10.1039/D1CC04966F
  36. Y. Lee, H. A. Hsain, S. S. Fields, S. T. Jaszewski, M. D. Horgan, P. G. Edgington, J. F. Ihlefeld, G. N. Parsons, J. L. Jones, Unexpectedly large remanent polarization of Hf0.5Zr0.5O2 metal-ferroelectric-metal capacitor fabricated without breaking vacuum, Appl. Phys. Lett., 118 (2021) 012903. https://doi.org/10.1063/5.0029532
  37. Y. Liang et al., ZrO2-HfO2 superlattice ferroelectric capacitors with optimized annealing to achieve extremely high holarization stability, IEEE Electron Device Lett., 43 (2022) 1451-1454. https://doi.org/10.1109/LED.2022.3193383
  38. G. N. Parsons, R. D. Clark, Area-selective deposition: fundamentals, applications, and future outlook, Chem. Mater., 32 (2020) 4920-4953. https://doi.org/10.1021/acs.chemmater.0c00722