DOI QR코드

DOI QR Code

Taguchi method-optimized roll nanoimprinted polarizer integration in high-brightness display

  • Lee, Dae-Young (Display Research Center, Samsung Display) ;
  • Nam, Jung-Gun (Display Research Center, Samsung Display) ;
  • Han, Kang-Soo (Large Display Process Development Team, Samsung Display) ;
  • Yeo, Yun-Jong (Display Research Center, Samsung Display) ;
  • Lee, Useung (Department of Mechanical and Automotive Engineering, Seoul National University of Science and Technology) ;
  • Cho, Sang-Hwan (Display Research Center, Samsung Display) ;
  • Ok, Jong G. (Department of Mechanical and Automotive Engineering, Seoul National University of Science and Technology)
  • 투고 : 2021.07.16
  • 심사 : 2022.07.26
  • 발행 : 2022.08.25

초록

We present the high-brightness large-area 10.1" in-cell polarizer display panel integrated with a wire grid polarizer (WGP) and metal reflector, from the initial design to final system development in a commercially feasible level. We have modeled and developed the WGP architecture integrated with the metal reflector in a single in-cell layer, to achieve excellent polarization efficiency as well as brightness enhancement through the light recycling effect. After the optimization of key experimental parameters via Taguchi method, the roll nanoimprint lithography employing a flexible large-area tiled mold has been utilized to create the 90 nm-pitch polymer resist pattern with the 54.1 nm linewidth and 5.1 nm residual layer thickness. The 90 nm-pitch Al gratings with the 51.4 nm linewidth and 2150 Å height have been successfully fabricated after subsequent etch process, providing the in-cell WGPs with high optical performance in the entire visible light regime. Finally we have integrated the WGP in a commercial 10.1" display device and demonstrated its actual operation, exhibiting 1.24 times enhancement of brightness compared to a conventional film polarizer-based one, with the contrast ratio of 1,004:1. Polarization efficiency and transmittance of the developed WGPs in an in-cell polarizer panel achieve 99.995 % and 42.3 %, respectively.

키워드

과제정보

This work was supported by the National Research Foundation of Korea (NRF) grants funded by the Korean Government (No. 2022R1I1A2073224 and No. 2022M3 C1A3090850 (Ministry of Science and ICT (MSIT)). We thank Dr. Kinam Kim, Chairman of Samsung Electronics and the process development team of the Semiconductor R&D Center for their help in manufacturing of the master mold wafer.

참고문헌

  1. Ahn, S.H. and Guo, L.J. (2009), "Large-area roll-to-roll and roll-to-plate nanoimprint lithography: A step toward highthroughput application of continuous nanoimprinting", ACS Nano, 3(8), 2304-2310. https://doi.org/10.1021/nn9003633.
  2. Ahn, S.H., Kim, J.S. and Guo, L.J. (2007), "Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrate", J. Vac. Sci. Technol. B, 25(6), 2388-2391. https://doi.org/10.1116/1.2798747.
  3. Chen, L., Wang, J.J., Walters, F., Deng, X.G., Buonanno, M., Tai, S. and Liu, X.M. (2007), "Large flexible nanowire grid visible polarizer made by nanoimprint lithography", Appl. Phys. Lett., 90(6). https://doi.org/10.1063/1.2472532.
  4. Cho, Y.T. (2018), "Fabrication of wire grid polarizer for spectroscopy application: From ultraviolet to terahertz", Appl. Spectrosc. Rev., 53(2-4), 224-245. https://doi.org/10.1080/05704928.2017.1328427.
  5. Gulcan, M.F. and Karahan, B.D. (2021), "Designing carbon-supported Fe2O3 anodes for lithium ion batteries", J. Appl. Electrochem., 51(6), 917-931. https://doi.org/10.1007/s10800-021-01552-2.
  6. Im, S., Sim, E. and Kim, D. (2018), "Microscale heat transfer and thermal extinction of a wire-grid polarizer", Sci Rep, 8(1), 1-11. https://doi.org/10.1038/s41598-018-33347-5.
  7. Kang, J., Yun, H.S., Jang, H.I., Kim, J., Park, J.H. and Lee, J.Y. (2018), "Solution-processed aluminum nanogratings for wire grid polarizers", Adv. Opt. Mater., 6(14). https://doi.org/10.1002/adom.201800205.
  8. Kwak, M.K., Ok, J.G., Lee, S.H. and Guo, L.J. (2015), "Visually tolerable tiling (VTT) for making a large-area flexible patterned surface", Mater. Horizons, 2(1), 86-90. https://doi.org/10.1039/C4MH00159A.
  9. Nezadi, M., Keshvari, H. and Yousefzadeh, M. (2021), "Using Taguchi design of experiments for the optimization of electrospun thermoplastic polyurethane scaffolds", Adv. Nano Res., 10(1), 59-69. https://doi.org/10.12989/anr.2021.10.1.059.
  10. Pate, M., Meyer, J., Shiefman, J. and Hansen, D. (2006), "Wire-grid polarizers in modern LCOS light-engine configurations", J. Soc. Inf. Disp., 14(3), 275-283. https://doi.org/10.1889/1.2185276.
  11. Prusty, R., Mukharjee, B.B. and Barai, S.V. (2015), "Nanoengineered concrete using recycled aggregates and nanosilica: Taguchi approach", Adv. Concr. Constr., 3(4), 253-268. http://doi.org/10.12989/acc.2015.3.4.253.
  12. Qin, L., Yang, J.T., Wang, C.G., Shen, C., Wang, Y.B., Tang, J. and Liu, J. (2019), "Preparation and measurement of subwavelength bilayer metal wire grid polarizers on flexible plastic substrates", Opt. Commun., 434, 118-123. https://doi.org/10.1016/j.optcom.2018.10.060.
  13. Reddy, S. and Bonnecaze, R.T. (2005), "Simulation of fluid flow in the step and flash imprint lithography process", Microelectron. Eng., 82(1), 60-70. https://doi.org/10.1016/j.mee.2005.06.002.
  14. Rowland, H.D., Sun, A.C., Schunk, P.R. and King, W.P. (2005), "Impact of polymer film thickness and cavity size on polymer flow during embossing: toward process design rules for nanoimprint lithography", J. Micromech. Microeng., 15(12), 2414-2425. https://doi.org/10.1088/0960-1317/15/12/025.
  15. Schlachter, F., Barnett, J., Plachetka, U., Nowak, C., Messerschmidt, M., Thesen, M. and Kurz, H. (2016), "UV-NIL based nanostructuring of aluminum using a novel organic imprint resist demonstrated for 100 nm half-pitch wire grid polarizer", Microelectron. Eng., 155, 118-121. https://doi.org/10.1016/j.mee.2016.03.046.
  16. Shin, Y.J., Pina-Hernandez, C., Wu, Y.K., Ok, J.G. and Guo, L.J. (2012), "Facile route of flexible wire grid polarizer fabrication by angled-evaporations of aluminum on two sidewalls of an imprinted nanograting", Nanotechnology, 23(34), 6. https://doi.org/10.1088/0957-4484/23/34/344018.
  17. Shin, Y.J., Wu, Y.K., Lee, K.T., Ok, J.G. and Guo, L.J. (2013), "Fabrication and encapsulation of a short-period wire grid polarizer with improved viewing angle by the angled-evaporation method", Adv. Opt. Mater., 1(11), 863-868. https://doi.org/10.1002/adom.201300276.
  18. Simioniuc, C.C., Rizea, A. and Marin, C. (2018), "Review of polarization components for infrared imaging systems: from wire-grid structured surfaces to reconfigurable metasurfaces", J. Optoelectron. Adv. Mater., 20(5-6), 236-246.
  19. Taguchi, G. (1990), Introduction to Quality Engineering, McGraw-Hill, New York, U.S.A.
  20. Verrier, I., Kampfe, T., Celle, F., Cazier, A., Guttmann, M., Matthis, B., Laukkanen, J., Lacour, F., Veillas, C., Reynaud, S., Parriaux, O. and Jourlin, Y. (2015), "Wiregrid polarizer using galvanic growth technology: demonstration of a wide spectral and angular bandwidth component with high extinction ratio", Opt. Eng., 54(4). https://doi.org/10.1117/1.OE.54.4.047105.
  21. Wang, C.H., Lai, D.S., Fan, Y., Tian, H.M., Li, X.M., Chen, X.L. and Shao, J.Y. (2021), "Nanoimprinting metalcontaining nanoparticle-doped gratings to enhance the polarization of light-emitting chips by induced scattering", Nanotechnology, 32(23). https://doi.org/10.1088/1361-6528/abe964.
  22. Wu, C.L., Sung, C.K., Yao, P.H. and Chen, C.H. (2013), "Sub-15 nm linewidth gratings using roll-to-roll nanoimprinting and plasma trimming to fabricate flexible wire-grid polarizers with low colour shift", Nanotechnology, 24(26). https://doi.org/10.1088/0957-4484/24/26/265301.
  23. Yamada, I. and Ishihara, Y. (2016), "Fabrication of infrared wire-grid polarizer by sol-gel method and soft imprint lithography", Appl. Phys. Express, 9(5). https://doi.org/10.7567/APEX.9.052202.
  24. Yamada, I., Yamashita, N., Tani, K., Einishi, T., Saito, M., Fukumi, K. and Nishii, J. (2012), "Infrared wire-grid polarizer with antireflection structure by imprinting on both sides", Appl. Phys. Express, 5(8). https://doi.org/10.1143/APEX.5.082502.
  25. Yamada, I. and Yoshida, R. (2020), "Fabrication of an infrared wire-grid polarizer via anisotropical Si etching in KOH solution", Appl. Optics, 59(18), 5570-5575. https://doi.org/10.1364/AO.392883.
  26. Yeon, J., Lee, Y.J., Yoo, D.E., Yoo, K.J., Kim, J.S., Lee, J., Lee, J.O., Choi, S.J., Yoon, G.W., Lee, D.W., Lee, G.S., Hwang, H.C. and Yoon, J.B. (2013), "High throughput ultralong (20 cm) nanowire fabrication using a waferscale nanograting template", Nano Lett., 13(9), 3978-3984. https://doi.org/10.1021/nl400209n.
  27. Zhang, F.B., Wang, M. and Yang, M.Y. (2021), "Successful application of the Taguchi method to simulated soil erosion experiments at the slope scale under various conditions", Catena, 196, 104835. https://doi.org/10.1016/j.catena.2020.104835.