DOI QR코드

DOI QR Code

Chemical Mechanical Polishing: A Selective Review of R&D Trends in Abrasive Particle Behaviors and Wafer Materials

화학기계적 연마기술 연구개발 동향: 입자 거동과 기판소재를 중심으로

  • Lee, Hyunseop (School of Mechanical Engineering, Tongmyong University) ;
  • Sung, In-Ha (Dept. of Mechanical Engineering, Hannam University)
  • Received : 2019.09.02
  • Accepted : 2019.10.04
  • Published : 2019.10.31

Abstract

Chemical mechanical polishing (CMP), which is a material removal process involving chemical surface reactions and mechanical abrasive action, is an essential manufacturing process for obtaining high-quality semiconductor surfaces with ultrahigh precision features. Recent rapid growth in the industries of digital devices and semiconductors has accelerated the demands for processing of various substrate and film materials. In addition, to solve many issues and challenges related to high integration such as micro-defects, non-uniformity, and post-process cleaning, it has become increasingly necessary to approach and understand the processing mechanisms for various substrate materials and abrasive particle behaviors from a tribological point of view. Based on these backgrounds, we review recent CMP R&D trends in this study. We examine experimental and analytical studies with a focus on substrate materials and abrasive particles. For the reduction of micro-scratch generation, understanding the correlation between friction and the generation mechanism by abrasive particle behaviors is critical. Furthermore, the contact stiffness at the wafer-particle (slurry)-pad interface should be carefully considered. Regarding substrate materials, recent research trends and technologies have been introduced that focus on sapphire (${\alpha}$-alumina, $Al_2O_3$), silicon carbide (SiC), and gallium nitride (GaN), which are used for organic light emitting devices. High-speed processing technology that does not generate surface defects should be developed for low-cost production of various substrates. For this purpose, effective methods for reducing and removing surface residues and deformed layers should be explored through tribological approaches. Finally, we present future challenges and issues related to the CMP process from a tribological perspective.

Keywords

1. 서 론

화학기계적 연마 또는 화학기계적 평탄화(chemical-mechanical polishing/planarization, CMP) 공정은 연마입자(abrasive particle)를 포함한 슬러리(slurry)에 의한 화학적 반응과 기계적인 가공에 의해, 더 빠르고 더 집적화된 대용량의 반도체 소자 제조에 필수적인 무결점의 평탄한 웨이퍼 표면을 만드는 중요한 공정 기술이다. CMP 공정의 기본적인 메커니즘은 재료 표면을 슬러리에 의한 화학 반응으로 연화시키고, 연화된 재료층의 제거와 패턴의 단차 감소를 연마 입자에 의한 기계적 가공을 통해 달성하는 것이다.

스마트폰, 인공지능 디바이스 등 점점 더 빠르고 우수한 전자 장비에 대한 요구의 증가에 따라 CMP 공정과 관련된 시장도 크게 증가하고 있다. 관련 보고서들에 따르면(Table 1), 2018년도 전세계 CMP 시장의 규모는 42.9억 달러이고 향후 연평균 성장률은 6.6% , 2026년도에는 71.6억 달러에 이를 것으로 전망하고 있다[1-3].

 

Table 1. Current status and forecast of global CMP market (Unit : billion USD($), %)

OHHHB9_2019_v35n5_274_t0001.png 이미지

매년 더 미세화된 패턴으로 더욱 집적화되고 내구성이 우수한 반도체 및 전자 소자에 대한 요구가 증대하고 있고, 이로 인해 CMP분야에서도 미세 스크래치 저감과 평탄화 레벨 향상을 위한 새로운 제조 기술과 슬러리, 연마패드(polishing pad) 등 관련 소모품 개발에 대한 수요가 증가하고 있다.

Table 2는 최근 5년여동안(’14~’19) Elsevier (Science Direct DB), Springer(LINK DB)에서 출판하는 화학, 재료, 트라이볼로지(tribology), 표면물리, 기계 등 다양한 분야의 주요 저널에 발표된 CMP주제 논문 약 240여편의 세부 토픽을 정리한 것이다. 세부 연구 토픽을 살펴보면, 이론 모델 등에 의한 공정 해석 및 개발과 재료 제거율(material removal rate, MRR) 분석, 슬러리/입자소재 및 조성 개발과 영향에 대해 연구가 주로 이루어지고 있으며, 공정 중에 계면에서의 접촉에 의한 역학적 상호 작용과 거동, 표면 미세돌기(asperity)와 마모, 윤활 영역의 영향 등 트라이볼로지 관점에서의 연구는 상대적으로 활발하지 않음을 알 수 있다. CMP는 앞서 언급한대로 슬러리 유동에 의한 Tribo-corrosion 반응과 연마입자에 의한 물리적 가공에 의해 재료 제거가 이루어지는 공정이므로, 앞으로 더욱 고정밀의 공정 제어와 고품위·무결점의 공정 달성을 위해서 트라이볼로지적 접근을 통한 전체 공정 및 접촉 계면에서의 현상들에 대한 종합적이고 포괄적인 이해가 중요하다. 본 논문에서는 최근의 CMP연구개발 동향을 기판 소재와 연마입자의 거동에 대한 연구 주제를 중심으로 살펴보고, CMP 공정에 대한 트라이볼로지 관점에서의 향후 도전 과제를 제시해 보고자 한다.

 

Table 2. CMP research topics published in some major academic journals in the last 5 years (2014~2019 ; Total 243 papers in 64 journals)

OHHHB9_2019_v35n5_274_t0002.png 이미지

 

2. CMP 연구 방법 및 모델링

 

2-1. 실험적 연구 기법

CMP 가공에서의 실험적 연구는 압력 및 속도 등의 공정 조건 연구, 연마패드, 슬러리 등의 소모품에 관한 연구, 계면 마찰 현상에 대한 연구 등 다양하게 접근이 가능하다. CMP 가공결과의 평가 요소는 아래와 같다.

a.재료제거율(material removal rate, MRR)

b.재료제거 불균일도(within-wafer non-uniformity, WIWNU)

c.웨이퍼간 불균일도(wafer-to-wafer non-uniformity, WTWNU)

d.표면 결함(defect)

CMP 가공의 재료제거율의 측정은 단위 시간당 제거되는 소재 혹은 필름의 두께 감소량을 의미하며 측정의 패턴은 대략 diameter scan, polar map, contour map, 9-point contour map 등으로 나뉜다. 일반적으로 박막의 경우 재료제거 불균일성은 적절한 방식으로 측정된 데이터의 평균값과 표준편차(standard deviation)을 활용하여 아래와 같이 표기한다.

\(WIWNU = \frac{\sigma}{x_{avg}}\times100\)       (1)

여기서 σ는 재료제거율의 표준편차를 의미하며, Xavg 는 재료제거율의 평균이다.

웨이퍼간 불균일도 역시 Eq. (1)과 동일하게 표기가 가능하며, CMP 공정이 진행된 웨이퍼들의 평균 재료제거율들의 평균값과 표준편차를 활용하게 된다.

CMP 후 웨이퍼의 표면 결함은 가공조건 및 소모품의 조합에 따라 다양하며, 스크래치(scratch), 가공 잔류물,워터마크(watermark) 등의 표면 결함이 발생할 수 있다. CMP 공정 중 웨이퍼와 연마패드의 계면에서 발생하는 마찰 현상의 관찰은 재료제거 현상을 트라이볼로지 관점에서 이해하는데 필수적이다. 이러한 트라이볼로지적 접근을 위해 CMP에서 계면 마찰을 측정하는 방법은 크게 Fig. 1의 3가지 방법이 알려져 있다[4].

Fig. 1(a)는 힘 센서를 연마헤드에 장착하는 방식이며, Fig. 1(b)는 회전하는 정반에 공구동력계를 장착하는 방법이다. Fig. 1(c)는 모터 전류를 측정하는 방식을 보여준다. CMP 공정에서 가공 마찰력의 측정은 마찰 현상의 이해뿐만 아니라 박막의 CMP 시 연마 종점을 결정하는데 활용이 가능하다.

 

OHHHB9_2019_v35n5_274_f0001.png 이미지

Fig. 1. Three kinds of friction force monitoring systems for CMP. Reprinted from Ref.[4] (© Korean Tribology Society, 2018)

 

2-2. 해석 및 시뮬레이션 연구 기법

CMP공정에서의 접촉 상황에 대한 이해와 분석을 위해 다양한 스케일에서의 이론에 기반한 해석모델 및 유한요소(finite element analysis, FEA), 분자동역학(moleculardynamics, MD)에 의한 시뮬레이션 연구 기법도 활발히 이용되고 있다.

Table 3에 최근 주요 저널에 게재된 해석적 및 시뮬레이션 기법을 활용한CMP논문의 연구동향을 정리하였다. 매크로 및 마이크로 스케일에서는 주로 동역학적 모델(kinematics model)에 의한 수치해석이나 유한요소해석을 이용하여 재료 제거 메커니즘을 분석하여 제시하고 있다. 이러한 수치해석 또는 유한요소해석은 전체적인 CMP 공정의 패드, 웨이퍼의 변형과 연마 불균일성 등을 이해하는데 도움이 되지만, 연속체 모델에 대한 해석, 해석모델 및 조건에 미세 입자 고려나 슬러리 유동 반영의 어려움 등의 제한성으로 인해 미세 스크래치 발생 등의현상을 설명하는 데에 한계를 지닌다.

 

Table 3. Recent CMP researches using analytical and computational methods

OHHHB9_2019_v35n5_274_t0003.png 이미지

또한 Table 3에서 보이듯이 접촉 계면에서의 미세 연마 입자와 웨이퍼, 패드간의 접촉 상황을 이해하기 위하여 원자 스케일에서의 시뮬레이션 및 해석 연구도 이루어지고 있는데, 이 경우 역시 실제 공정 조건과 달리 지나치게 빠른 속도나 매우 국소적인 크기의 대상 모델, 대상계를 구성하는 재료에 대한 정확한 원자간 인력 포텐셜(interatomic potential), 힘장(force field)의 부재 등으로 인해 그 결과의 정확도와 정밀도에 한계가 있으나, 입자의 거동이나 미세 스크래치 발생 메커니즘을 규명하는데 도움을 주고 있다.

 

3. 연마입자의 거동에 대한 이해

CMP는 다량의 자유입자에 의한 초정밀 연마 가공이며 입자의 역할은 높은 MRR, 선택적 가공, 낮은 표면결함(잔류 입자나 미세 스크래치) 성능을 확보하는 것이므로, 웨이퍼-패드간 실 접촉면적과 패드의 표면거칠기, 물성에 대한 이해뿐만 아니라, 입자의 거동과 입자간 상호작용에 대한 정확한 이해는 공정의 효율과 품질을 좌우하는 매우 중요한 사항이다[4,20].

연마 입자 함량의 영향은 입자 개수가 증가하면 연마에 참여하는 유효 입자수가 증가함으로 접촉 압력이 분산되고 이에 따라 마찰력이 감소하며 연마율과 표면 거칠기 등 연마 특성이 좋아지지만, 너무 함량이 높을 경우 오히려 눈메움, 눈막힘 현상(입자가 패드 공극을 막아 연마 효율 저감) 등을 유발시켜 연마 특성이 악화되기도 한다[4,21].

CMP와 같이 미세 유로채널내 유동하에서 입자와 표면이 미세한 접촉면적을 가지고 충돌, 접촉할 경우는 높은 압력이 형성되어 움직일 수 있는 부피가 작아져 유체의 점도가 매우 크게 상승하고 웨이퍼 표면에서 상당한 탄성변형이 발생하게 된다. 따라서, 입자의 거동이 더욱 중요하게 되며 충돌 입자의 크기에 따라 접촉 응력도 증가하게 되는데, 이는 입자 자체의 질량 증가에 의한 충돌에너지 증가에 기인할 뿐 만 아니라 점도가 상승한 슬러리 유막을 통해 입자가 웨이퍼 표면에 전달되는 힘을 증가시켜 응력 및 재료 제거율을 증가시키게 된다[22]. CMP 공정중에는 계면내에 슬러리 용액의 pH값에 따른 유동내 연마입자의 속도 차이, 전단(shear)에 따른 입자의 거동과 정전기적 인력(electrostatic force)에 기인한 입자간 뭉침(agglomeration) 또는 응집(aggregation) 현상으로 0.5 µm이상의 큰 입자가 생성되어 표면 결함을 야기시킬 수 있다[23-25]. 분자동역학 시뮬레이션을 통한 연구에서는 실리콘 기판위에서 SiO2연마 입자의 미끄러짐이 기판 입자를 제거하며 화학 반응을 유도함이규명되었다[16].

일반적인 기계 가공은 공구와 공작물간의 접촉 마찰에 의한 마멸현상을 극대화시킨 것이라 할 수 있다. 일반적으로 알려진 바와 같이, 동일한 상대 재료간 마찰 실험에서 마찰계수와 마찰력은 측정시스템의 강성(stiffness)에 따라 달라지므로, 가공현상과 재료제거율에 대한 정확한 이해를 위해서는 가공시스템의 강성에 대한 이해가 반드시 필요하다. 따라서, CMP공정에서도 연마효율, 웨이퍼 표면 품위 향상을 위해서 웨이퍼-입자-패드간 접촉 상황 및 접촉 강성(contact stiffness)에 대한 고찰이 중요하며, 슬러리내 연마입자들의 거동은 유동 및 패드, 웨이퍼간 상호작용의 관점에서 분석되어야 한다.

CMP공정후의 웨이퍼 표면에는 수율(yield)에 영향을 미치는 다양한 형태의 미세 스크래치나 연마가 균일하게 되지 못하는 연마 불균일 등의 문제점이 나타난다. 웨이퍼-패드내 접촉 계면에서의 입자의 거동은 이에 대한 메커니즘과 원인을 잘 설명해 준다.

실험 및 시뮬레이션을 통한 입자 거동에 대한 고찰에서는, 공정 중에 웨이퍼 표면에 미세 스크래치가 발생할 때 패드 컨디셔닝을 실시하고 다시 공정을 진행하면 스크래치 발생이 현저히 줄어드는 것을 보임으로써, 패드에 침투한 입자들이 미세 스크래치의 주요 원인임이 규명되었다[11,26]. 접촉 계면내에서 연마입자들이 서로 뭉침 또는 응집으로 인해 입자의 크기가 변화하기도 하고, 입자가 패드 및 웨이퍼와 접촉과 충돌 등 반복적인 상호작용을 하면서 결국 패드에 대변형을 일으키며 패드의 미세 공극내에 완전히 고착되는 거동을 시뮬레이션 결과는 극명하게 보여준다(Fig. 2).

 

OHHHB9_2019_v35n5_274_f0002.png 이미지

Fig. 2. Simulation results showing the formation of padparticle mixture (SiO2 particle, W wafer, polyurethane pad) ; after the time steps of (a) 190, (b) 1030, (c) 1070, (d) 1080, respectively. Reprinted from Ref.[26] (© Korean Tribology Society, 2012)

또한, 이렇게 패드에 침투하여 고착되어 있거나 웨이퍼와 패드사이에 강한 접촉을 하는 입자들의 경우는 스틱슬립(stick-slip)을 유발하여 웨이퍼에 채터(chatter) 유형의 스크래치를 발생시키는 원인이 될 수 있다. 다수의 연마입자를 고려한 고체-유체 연성해석(fluid-structure interaction)에서는 연마입자의 갯수와 크기에 따라 스틱슬립 양상이 바뀌며, 연마입자의 갯수가 증가함에 따라 스틱슬립 주기와 변위량(displacement), 즉 마찰력의 감소가 관찰되었다[12].

국부적으로 불균일한 평탄도와 주로 웨이퍼 끝단인 에지(edge) 영역에서의 매우 높은 연마율로 인해 소자 제작에 어려움을 주는 재료제거 불균일도(WIWNU)에도 입자의 거동은 매우 중요한 영향을 미치는 요인이다.

Fig. 3에서 보이는 바와 같이, 입자를 고려하지 않은 웨이퍼 스케일의 거시적 모델을 이용한 유한요소 해석에서는 최대응력이 에지 영역에서가 아니라 웨이퍼 중심으로부터 85~90% 부근에서 나타나고 실제 공정중에 나타나는 에지 영역에서의 상대적으로 높은 연마율을 설명하지 못하는 반면(Fig.3(a)), 입자 스케일 모델을 이용한 미시적인 해석 결과에서는 에지 영역에서 큰 응력이 발생하며 그 크기가 웨이퍼의 항복강도 이상으로 나타나 실제 공정에서 나타나는 결과를 잘 모사하였다(Fig. 3(b)). 특히, 패드-웨이퍼 계면에서 입자가 자유롭게 움직일 수 있도록 모델링한 particle model 1 에 비해, 입자가 패드에 고착되는 패드-입자 혼합(pad-particle mixture) 상황을 고려한 particle model 2에서 더욱 큰 응력을 나타내어, 실제 에지 영역에서 나타나는 과도한 연마를 잘 설명하고 있다[27]. 따라서, 이러한 입자의 거동에 의한 현상들이 연마 불균일도에도 직접적으로 큰 영향을 미치는 것이 확인된다.

 

OHHHB9_2019_v35n5_274_f0003.png 이미지

Fig. 3. Stress distribution on the wafer shown in the finite element analysis using (a) wafer-scale model, (b) particle-scale models. Reprinted from Ref.[27] (© Korean Tribology Society, 2012)

앞서 기술한대로, 입자들이 공정 중에 패드에 침투하여 고착되면서 패드-입자 혼합 구조가 형성되면 패드의 강성이 증가하게 된다. 결국 공정 초기와 달리 공정이 진행하면서 웨이퍼-패드간 접촉 강성은 변화하게 되는 것이며, 이에 따라 마찰력 및 가공 현상도 공정이 진행됨에 따라 변화하게 된다.

일반적으로 연마 패드는 패드의 경도를 조정하기 위한 충전재가 첨가된 다공성 폴리우레탄으로 제조된다. 패드의 경도는 가장 중요한 공정 변수 중 하나이며 재료 제거율과 균일성에 영향을 줄 수 있다. 패드 표면에서의 기계적 하중(압력)과 화학적 반응, 미세 기공내 연마 입자의 고착 등으로 인해 탄성 계수, 압축성, 경도 및 표면 거칠기와 같은 패드의 물리적 특성은 CMP 공정이 진행되는 동안 변화하게 된다. 이러한 변화는 전체 CMP 프로세스에 중요한 영향을 줄 수 있다.

 

4. 기판소재 연마기술의 이해

가장 널리 활용되는 반도체용 기판소재는 실리콘(Si)으로 실리콘 잉곳(ingot) 성장, 외경연삭, 절단(slicing), 에지 라운딩(edge rounding), 래핑(lapping), 식각(etching), 연마(polishing) 공정 등을 거쳐 완성된다. 실리콘 기판외 반도체용 기판으로는 사파이어, 탄화규소(SiC), 질화갈륨(GaN) 등이 활용되고 있다[28]. 웨이퍼링 공정에서 이러한 기판 소재의 표면가공은 전체 가격의 80%를 초과한다고 알려져 있다[29]. 본 연구에서는 널리 활용되고 있는 실리콘 기판을 제외한 발광다이오드(Light Emitting Diode; LED)용 기판 소재를 중심으로 설명하고자 한다.

사파이어는 저온 및 고온에서의 안정성, 뛰어난 광학특성, 우수한 열전달 특성 등으로 LED용 기판 소재로 널리 사용되고 있다[30]. SiC는 고온 안정성, 넓은 에너지 밴드 갭, 높은 열전도성 등의 특성으로 인하여 LED 기판소재 뿐만 아니라 전력반도체(power semiconductor)용기판 소재로 활용이 가능하다[31]. 또한, GaN은 차세대 고효율 전력반도체의 가판 및 청색 LED등의 소재로 주목받고 있다[32]. 하지만, 사파이어, SiC, GaN 등은 기계적 가공이 어려운 소재(difficult-to-machine material)로 알려져 있으며, 화학적 안정성 또한 우수해 래핑 가공을 포함한 연마 공정을 통한 기판의 표면거칠기 확보에도 어려움이 따르고 있다[33]. 따라서 연구자들에 의해 가공 효율 향상을 위한 연마기술에 대한 연구가 이루어지고 있다.

기판의 표면가공에 있어 가공시간의 단축과 에너지 및 원가의 절감을 위해 기존의 단면가공 공정은 양면 가공으로 발전해 왔으며, 최근 기판 생산에 있어 커프 손실(kurf loss) 감소를 위한 다양한 노력이 이루어지고 있다. 이러한 커프 손실 감소를 위해서는 반도체용 기판에서 요구되는 TTV(total thickness variation), Bow, Warp등의 요소를 빠르게 만족시킬 수 있는 고속가공 공정을 필요로 한다.

 

4-1. 사파이어 기판 연마기술

Jo 등[34]은 사파이어 기판의 다이아몬드 기계 연마(diamond mechanical polishing, DMP) 공정에서 마찰력 모니터링(Fig. 4)을 통한 재료 제거 특성에 관하여 연구하였다. DMP 공정은 연마 정반에 다이아몬드 슬러리를 공급하면서, 회전하는 정반과 기판 사이의 상대속도와 압력에 의해 소재의 표면을 가공하는 방법이다. 사파이어의 재료제거율은 압력과 속도의 곱에 비례(Fig. 5)하여 Preston식을 따르며, 마찰에너지와도 선형의 관계를 가진다. 또한 그들의 연구에서는 연마 정반의 피치(pitch)가 재료제거율과 마찰력에 영향을 미치고 피치가 감소할수록 높은 재료제거율을 얻을 수 있음을 밝혔다. 그러나, 실험에서의 최대 재료제거율은 약 1.3 µm/min의 결과를 보이고 있다.

 

OHHHB9_2019_v35n5_274_f0004.png 이미지

Fig. 4. Schematic of friction force monitoring systemfor DMP. Reprinted from Ref.[34] (© Korean Tribology Society, 2016)

 

OHHHB9_2019_v35n5_274_f0005.png 이미지

Fig. 5. Material removal rate as a function of pressure and velocity in sapphire CMP. Reprinted from Ref.[34] (© Korean Tribology Society, 2016)

이에 Lee 등[35]은 고정 입자 정반을 이용한 사파이어 기판의 래핑(lapping) 기술을 제안하였다(Fig. 6). 사파이어 기판의 양면 래핑(double-sided lapping, DSL) 후 이루어지는 DMP 공정은 자유 입자를 이용한 연마 가공법이다. 이러한 자유 입자 연마에서는 대부분의 입자가 three-body 거동을 하게 되며 일부 입자만이 정반에 압입되어two-body 형태의 거동을 하게 된다. Lee 등[35]의 연구에 따르면, 고정 입자를 활용한 연마는 2-body abrasion에 의한 재료제거율을 높이는 효과가 있음을 보여주고 있다. 또한, 가공 시간에 따른 재료제거율 저하 현상을 개선하기 위해 절삭유를 사용함으로써 자유 입자를 활용한 연마가공에 비해 10배 이상의 가공 효율(35 µm/min 이상의 안정적인 재료제거율)을 확보할 수 있음을 보여주었다(Fig. 7). 이 밖에 사파이어 고정입자를 사용하는 래핑 가공에서 재료제거율 뿐만 아니라 CMP-ready 표면의 확보를 위해 래핑 가공 중 자유입자를 동시에 공급하는 연구[36]도 알려져 있다. 래핑 가공 중 자유입자의 추가는 재료제거율을 크게 증가시키지는 못하지만, 표면거칠기의 감소량을 증가시키는 효과가 있는 것으로 밝혀졌다.

 

OHHHB9_2019_v35n5_274_f0006.png 이미지

Fig. 6. Experimental sequence for Lapping. Reprinted from Ref.[35] (© Korean Tribology Society, 2016)

 

OHHHB9_2019_v35n5_274_f0007.png 이미지

Fig. 7. Material removal rate as a function of various cutting fluids and wafer conditions (C.F.: cutting fluid, MWSed wafer: multi-wire sawed wafer, and W.: water). Reprinted from Ref.[35] (© Korean Tribology Society, 2016)

사파이어 웨이퍼링 과정에서 랩그라인딩 공정을 적용하기 위해서는 공정 중 발생하는 높은 마찰열에 의한 정반 열변형에 관한 고려가 필요하며 일반적으로는 정반내 냉각유로를 구성하여 가공 중 발생하는 정반 온도 분포를 제어하고 있다[37].

반도체용 기판소재는 소자 형성을 위해 요구되는 표면거칠기를 만족해야 하며 이를 위해 CMP 공정이 적용되고 있다. 기판 가공에 있어서의 CMP는 소자 형성에 적용되는 CMP의 개념 보다는 표면거칠기 확보의 의미가 강하다고 할 수 있다.

사파이어는 알루미나(Al2 O3 )를 2,050℃ 이상에서 단결정으로 성장되며 Hexagonal 구조를 가진다. 성장 후 잉곳의 상태에서 절단 방향에 따라 기판의 결정면이 결정되며 Table 4는 결정면에 따른 사파이어 기판의 용도를 보여주고 있다.

 

Table 4. Usage of sapphire according to crystal orientation

OHHHB9_2019_v35n5_274_t0004.png 이미지

사파이어 CMP에 있어서는 그 결정면에 따라 재료 제거 특성이 달라진다. 사파이어의 CMP 가공 시, C-plane, R-plane, A-plane의 순으로 높은 재료제거율을 가진다고 알려져 있으며, 가공 중 측정된 마찰력 역시 동일한 경향을 보인다[38]. Fig. 8과 Fig. 9는 각각 가공 압력 및 속도의 변화에 따른 C-plane, R-plane, A-plane 사파이어 기판의 재료제거율과 가공 중 마찰력을 보여주고 있다. 이는 결정면에 따른 표면경도의 차이로 알려져 있다. Lee 등[38]은 비르코비치(berkovich) 팁을 이용한 압입실험을 통해 결정면에 따른 사파이어 기판의 CMP 재료제거 특성을 밝혔다(Fig. 10). 가장 낮은 경도를 지닌 C-plane 사파이어의 재료제거율이 가장 높으며 결정면 변화에 따라 기판의 경도가 높아질수록 재료제거율은 감소하는 경향을 보인다.

 

OHHHB9_2019_v35n5_274_f0008.png 이미지

Fig. 8. Material removal rate of each crystal orientation substrate according to pressure and velocity; (a) C-plane, (b) R-plane, and (c) A-plane. Reprinted from Ref.[38] (© Korean Tribology Society, 2017)

 

OHHHB9_2019_v35n5_274_f0009.png 이미지

Fig. 9. Friction force of each crystal orientation substrate according to pressure and velocity; (a) C-plane, (b) Rplane, and (c) A-plane. Reprinted from Ref.[38] (© Korean Tribology Society, 2017)

 

OHHHB9_2019_v35n5_274_f0010.png 이미지

Fig. 10. Hardness of each crystal orientation bare wafer and reacted wafer. Reprinted from Ref.[38] (© Korean Tribology Society, 2017)

사파이어 CMP에서 재료제거율은 Preston의 식에 따라 압력과 속도에 선형적으로 증가한다[39]. 그러나 재료제거율은 기판의 중심부 보다 가장자리가 높은 분균일 분포를 지니게 되며 이는 기판 가장자리에서의 응력집중에 의한 것으로 알려져 있다. Park 등[39]은 이러한 현상을 극복하기 위해 세라믹 캐리어(carrier) 위에 허브링(hub ring)을 삽입하여 기판에 작용하는 압력 분균형을 해소하였다(Fig. 11).

 

OHHHB9_2019_v35n5_274_f0011.png 이미지

Fig. 11. Ceramic plate and hub ring proposed by Park et al. Reprinted from Ref.[41] (© Korean Tribology Society, 2016)

사파이어 CMP 공정의 재료 제거는 기계적 요소와 화학적 요소에 의한 상호작용으로 이루어진다. 사파이어는 화학적으로 매우 안정적인 소재로 CMP 공정에서는 수산화 이온(OH-)과의 반응에 의해 형성된 수화반응층을기계적으로 제거하는 가공 메커니즘을 가진다[40]. 사파이어 수화반응층의 형성은 연마입자의 압입과 미끄럼 마찰 조건에서 수십 nm 두께로 생성되며 생성과 제거를 반복하며 사파이어 표면의 제거가 이루어진다. 따라서 재료제거율의 향상을 위해서는 연마 입자의 기계적 참여율을 높일 필요성이 있다. Park 등[41]은 염화칼륨(KOH)을 이용하여 연마 입자의 제타 전위(zeta potential)를 변화시켜 재료제거율을 향상시키고자 하였다. 산성영역에서는 염화칼륨의 농도가 증가할수록 입자와 기판 사이의 상호 인력이 감소하여 재료제거율이 감소하며, 염기성 영역에서는 염의 첨가에 따른 제타 전위의 변화가 크지 않음을 밝혔다.

 

4-2. SiC 기판 연마기술

SiC CMP는 사파이어 CMP와 마찬가지로 소재의 물리적 특성으로 인하여 연마 가공 시 상당한 시간을 소요하는 공정이다. 특히 사파이어(Knoop hardness 2,000~2,050 kg/mm2 )에 비해 높은 경도(Knoop hardness 2,150~2,900 kg/mm2 )는 SiC의 연마에 있어 가공 효율을 확보하는데 어려움을 주고 있다. SiC 웨이퍼는 결정구조에 따라 3C-SiC(능면형), 4H-SiC(입방형)와 6H-SiC(육방형)가 대표적으로 활용되고 있으며, 각각의 결정구조에 따른 전기적 특성을 고려하여 활용되고 있다. 이에 SiCCMP에 대한 연마기술 연구는 슬러리 연마입자의 선택과 하이브리드 가공 등을 통한 재료제거율 향상에 집중되어 있다.

SiC CMP 용 연마입자로는 다이아몬드(diamond), 실리카(SiO2 ), 알루미나(Al2 O3 ), 세리아(CeO2 ) 입자 등이 활용될 수 있다. An 등[31]은 6H-SiC 기판용 슬러리에 관하여 연구하였으며, KOH 기반의 콜로이달 실리카(colloidal silica) 슬러리와 다이아몬드 슬러리(25 nm)의 혼합입자 슬러리(mixed abrasive slurry)에 NaOCl을 첨가하여 재료제거율을 향상시켰다. 여기서 NaOCl의 첨가는 SiC 표면에 산화층을 형성시키는 역할을 한다. SiC 표면에 형성된 산화층은 다이아몬드 입자에 의해 제거되며 콜로이달 실리카 입자는 표면거칠기를 확보하는데 도움을 준다고 알려져 있다. Lee 등[41]은 AFM 스크래칭 실험과 압입실험을 통해 다이아몬드 입자에 의한 SiC 표면 산화층의 제거를 간접적으로 확인하였으며 혼합입자 슬러리의 재료제거 메커니즘을 제안하였다.

알루미나 입자를 사용한 SiC CMP 연구[42,43]도 보고되고 있으나 실리카 입자를 사용하는 경우에 비해 재료제거율 확보 측면에서는 다소 효과가 있으나 표면거칠기는 상대적으로 높은 것으로 보고되고 있다. Chen 등[44]은 SiC CMP에서 실리카 슬러리와 세리아(CeO2 ) 슬러리의 재료제거율을 비교하였으며 산성영역에서 세리아 슬러리가 높은 재료제거율을 보임을 실험을 통해 밝혔다.

SiC 기판의 가공효율을 높이기 위해 고압/고속의 가공조건을 사용하는 방법도 고려할 수 있으나 스크래치와 같은 표면결함 등 극복해야할 문제가 여전히 존재한다. 이에 연구자들은 CMP 공정에 의한 화학-기계적 재료 제거 방식 외 새로운 가공법을 제안하고 있다.

Bell-jar 형 CMP 장치를 이용하고 산화제로 KMnO4를 활용한 CMP 방식은 대기 노출 상태에서 이루어지던 기존의 CMP 방식을 챔버 내의 압력을 조절하고 다양한 가스 분위기에서 진행함으로써 SiC CMP의 가공효율을 높일 수 있는 방법 중 하나로 제안되고 있다[45]. 이러한 방식은 고압의 질소(N) 및 산소(O2 ) 분위기에서 SiC 기판의 산화작용을 촉진시켜 재료제거율을 향상시키는 메커니즘을 가진다.

최근에는 plasma vaporization machining (P-CVM)과 CMP가 결합된 가공법(CMP/P-CVM)으로 다양한 소재를 가공하는 방법이 개발되었다[46]. CMP/P-CVM 공정은 크게 전처리 단계 및 마무리 단계로 나뉜다(Fig. 12). 전처리 단계는 펨토초 레이저(femtosecond laser)를 통해 CMP에 용이한 표면처리를 하는 단계이며, 마무리 단계에서는 고압 산소분위기의 챔버 내에서 P-CVM과 CMP 공정을 통해 화학적으로 반응된 재료의 표면을 제거한다. CMP/P-CVM 공정은 공정 및 장치의 복잡성이 있지만, 기존 방식에 비해 월등히 높은 재료제거율(약 6.4 μm/min)을 보이고 있다.

 

OHHHB9_2019_v35n5_274_f0012.png 이미지

Fig. 12. Sequence of CMP/P-CVM process proposed by Doi et al.[46].

 

4-3. GaN 기판 연마기술

GaN은 와이드 갭 화학물 반도체(wide-gap compound semiconductor)의 일종으로, 고효율의 단파장 이미터(shortwave-length emitter)와 고전력 트랜지스터(high powertransistor device) 등 다양한 분야에 적용되고 있다[47]. 그러나 GaN 기판의 높은 가격으로 인해,GaN은 실리콘 기판, 사파이어 기판, SiC 기판 위 GaN 에피택셜(epitaxial) 필름을 성장시켜 활용되고 있다[48].

GaN은 화학적으로 매우 안정적으로 알려져 있으며 CMP 후 화학액에 의한 선택적 에칭(etching)에 의해micro-pit이 발생되는 문제점이 있어 CMP 공정에 어려움이 따르고 있다[49]. 특히 NaOH 혹은 KOH 기반의 슬러리를 이용하는 경우 N-면의 연마가공이 가능하나, Ga-면은 화학적으로 매우 안정적인 특성을 보인다[49]. Hayashi 등[47]은 이러한 특성을 극복하기 위해 GaNCMP를 위해 NaOCl 기반 알루미나 슬러리를 대안으로 제안하였으며, CMP를 통해 RMS 거칠기 1nm 이하의 표면을 획득하였다. Aida 등[50]은 산성(pH 1.8) 및 염기성(pH 10.5) 콜로이달 실리카 슬러리를 활용하여 GaNCMP 연구를 실시하였으며, Ga-면에서는 산성 슬러리가 높을 재료제거율을 보이는 반면, N-면에서는 염기성 슬러리에서 높은 재료제거율을 보임을 밝혔다. 이러한 현상은 GaN의 각 면에서 슬러리에 의한 화학적 반응성의 차이로 나타나는 현상으로 보인다. 또한, GaN의 경우 사파이어나 SiC 보다 동일 가공조건에서 낮은 재료제거율을 보이고 있어 GaN CMP의 가공효율을 높이기 위한 노력이 이루어지고 있다.

Li 등[51]은 H2 O2에 의해 생성되는 하이드록실 라디칼(OH radical)을 활용하여 Ra 거칠기 0.81 nm의 GaN 표면을 달성하였다. Murata 등[52]은 GaN 표면 연마를 위해catalyst-referred etching (CARE)을 적용하였다. OH 라디칼은 H2 O2의 환원적 분해를 통해 생성되며 그 메커니즘은 펜톤반응(Fenton reaction)과 유사한 것으로 알려져 있다. 그들의 연구에서는 332 nm 파장의 UV 램프를 이용하였으며, 실험을 통해 텅스텐(W), 탈탈륨(Ta), 니켈(Ni), 철(Fe) 중 철을 촉매로 사용하는 경우 가장 높은 재료제거율을 보임을 밝혔다.

 

5. 결론 및 도전과제

CMP는 다학제적인 기술이 복합적으로 집약된 공정으로서, 기판, 입자, 슬러리 등의 재료 및 조성, 기계 역학적 및 화학적 반응 메커니즘, 유체 유동 등의 영향에 대한 종합적이며 포괄적인 이해를 필요로 한다. 본 연구에서는 트라이볼로지 관점에서 CMP공정을 통한 반도체 소자 및 연마 기술의 최근 연구 개발 동향을 살펴보았다. 다양한 기판 소재에서 공정중에 표면에 발생하는 미세결함과 연마 불균일성, 공정후 클리닝 등 고집적화를 위한 이슈와 난제들을 해결하기 위해서는 점점 더 트라이볼로지 관점에서의 접근과 고찰이 중요해지며 깊은 이해가 필요한 것으로 파악되었다.

우선, 미세 스크래치 발생 억제 측면에서는 마찰력과의 상관성 및 입자 거동에 따른 발생 메커니즘의 이해가 중요한데, 웨이퍼-입자/슬러리-패드간 접촉 강성 및 이와 연관된 스틱슬립과 이러한 양상의 공정중의 변화가 중요하게 고려되어야 하며 그러한 분석을 통해 패드의 물성과 표면 특성이 파악되고 조정되어야 한다. 또한, 스크래치 크기 및 발생 메커니즘과 관련하여 CMP 프로세스가 진행됨에 따라 입자간 뭉침 또는 응집 현상, 패드기공에 침투하여 고착되는 입자들로 인한 국부적인 패드 강성의 증가와 같은 추가적인 고려요소가 있으며, 공정 중에 이러한 변화들을 어떻게 제어하느냐가 지속적인 도전과제이다.

소재 기술의 발전과 더불어 반도체용 기판 소재는 다양화되어 가며, 소재에 적합한 가공기술의 개발이 동시에 요구된다. 특히 반도체용 기판 생산에 있어 표면 결함이 없는 고속가공 기술의 개발은 가격경쟁력 확보를 위해 필수적이다. 기판의 연마가공 기술은 기계가공 후 잔류하는 가공변질층을 제거하는 공정으로 입자에 의한 마찰과 마멸 현상을 활용하는 기술이다. 따라서 트라이볼로지적 측면에서 표면반응층의 생성과 이를 효과적으로 제거할 수 있는 가공법의 개발이 필요하다. 또한 기계가공에 의한 가공변질층의 명확한 측정과 연마가공 메커니즘의 규명이 요구된다.

 

Acknowledgements

본 연구는 2018년도 한국연구재단 기초연구사업(NRF-2018R1D1A1B07043169) 및 2019년 부산광역시에서 지원하는 BB21+사업의 지원의 지원을 받아 수행한 연구임.

References

  1. Markets and Markets, https://www.marketsandmarkets.com/Market-Reports/chemical-mechanical-planarization-market-205609310.html, Accessed August 10, 2019.
  2. Verified Market Research, https://www.verifiedmarketresearch.com/product/global-chemical-mechanical-planarization-market/, Accessed August 10, 2019.
  3. Market Watch, https://www.marketwatch.com/pressrelease/at-65-cagr-chemical-mechanical-planarizationmarket-size-will-reach-6090-million-usd-by-2025-2019-06-04, Accessed August 10, 2019.
  4. Lee, H., "Tribology Research Trends in Chemical Mechanical Polishing (CMP) Process", Tribol. Lubr., Vol.34, No.3, pp.115-122, 2018. https://doi.org/10.9725/KTS.2018.34.3.115
  5. Wang, T., Lu, X., Zhao, D., He, Y., "Contact Stress Non-Uniformity of Wafer Surface for Multi-Zone Chemical Mechanical Polishing Process", Sci. China Technol. Sci., Vol.56, No.8, pp.1974-1979, 2013. https://doi.org/10.1007/s11431-013-5245-y
  6. Wang, T., Lu, X., "Numerical and Experimental Investigation on Multi-Zone Chemical Mechanical Planarization", Microelectron. Eng., Vol.88, No.11, pp.3327-3332, 2011. https://doi.org/10.1016/j.mee.2011.08.011
  7. Zhao, D., Lu, X., "Chemical Mechanical Polishing: Theory and Experiment", Friction, Vol.1, No.4, pp.306-326, 2013. https://doi.org/10.1007/s40544-013-0035-x
  8. Wang, Y., Chen, Y., Qi, F., Xing, Z., Liu, W., "A Molecular-Scale Analytic Model to Evaluate Material Removal Rate in Chemical Mechanical Planarization Considering the Abrasive Shape", Microelectron. Eng., 2015, https://doi.org/10.1016/j.mee.2015.02.012.
  9. Kim, S., Saka, N., Chun, J.-H., "The Effect of Pad-Asperity Curvature on Material Removal Rate in Chemical-Mechanical Polishing", Procedia CIRP, 2014, https://doi.org/10.1016/j.procir.2014.03.014
  10. Wang, L., Zhou, P., Yan, Y., Kang, R., Guo, D., "Physically-Based Modeling of Pad-Asperity Scale Chemical-Mechanical Synergy in Chemical Mechanical Polishing", Tribol. Int., 2019, https://doi.org/10.1016/j.triboint.2019.05.046
  11. Sung, I.-H., Kim, H. J., Yeo, C. D., "First Observation on the Feasibility of Scratch Formation by PadParticle Mixture in CMP Process", Appl. Surf. Sci., Vol.258, No.20, pp.8298-8306, 2012. https://doi.org/10.1016/j.apsusc.2012.05.044
  12. Jung, S., Sung, I.-H., "Stick-slip in Chemical Mechanical Polishing Using Multi-Particle Simulation Models", Tribol. Lubr., Vol.34, No.6, pp.279-283, 2018, https://doi.org/10.9725/kts.2018.34.6.279
  13. Lee, H., Lee, S., "Investigation of Pad Wear in CMP with Swing-Arm Conditioning and Uniformity of Material Removal", Precis. Eng., 2017, https://doi.org/10.1016/j.precisioneng.2017.01.015
  14. Nguyen, N., Tian, Y., Zhong, Z. W., "Modeling and Simulation for the Distribution of Slurry Particles in Chemical Mechanical Polishing", Int. J. Adv. Manuf. Technol., Vol.75, No.1-4, pp.97-106, 2014. https://doi.org/10.1007/s00170-014-6132-9
  15. Guo, X., Yuan, S., Wang, X., Jin, Z., Kang, R., "Atomistic Mechanisms of Chemical Mechanical Polishing of Diamond (1-0-0) in Aqueous $H_2O_2$/Pure $H_2O$: Molecular Dynamics Simulations Using Reactive Force Field (Reaxff)", Comput. Mater. Sci., 2019, https://doi.org/10.1016/j.commatsci.2018.10.041.
  16. Wen, J., Ma, T., Zhang, W., van Duin, A. C. T., Lu, X., "Atomistic Mechanisms of Si Chemical Mechanical Polishing in Aqueous $H_2O_2$: Reaxff Reactive Molecular Dynamics Simulations", Comput. Mater. Sci., 2017, https://doi.org/10.1016/j.commatsci.2017.02.005.
  17. Shi, Z., Jin, Z., Guo, X., Yuan, S., Guo, J., "Insights into the Atomistic Behavior in Diamond Chemical Mechanical Polishing with OH Environment Using Reaxff Molecular Dynamics Simulation", Comput. Mater. Sci., 2019, https://doi.org/10.1016/j.commatsci.2019.05.001.
  18. Shi, J., Chen, J., Fang, L., Sun, K., Sun, J., Han, J., "Atomistic Scale Nanoscratching Behavior of Monocrystalline Cu Influenced by Water Film in CMP Process", Appl. Surf. Sci., 2018, https://doi.org/10.1016/j.apsusc.2017.11.199.
  19. Si, L., Guo, D., Luo, J., Xie, G., "Planarization Process of Single Crystalline Silicon Asperity Under Abrasive Rolling Effect Studied by Molecular Dynamics Simulation", Appl. Phys. A, Vol.109, No.1, pp.119-126, 2012. https://doi.org/10.1007/s00339-012-7026-z
  20. Kim, H. J., Abrasive for Chemical Mechanical Polishing, In: Rudawska, A. (ed.) Abrasive Technology: Characteristics and Applications, IntechOpen, London, 2018. (ISBN : 1-789-84193-0)
  21. Seo, J., Kim, T., Lee, H., "Effect of Free Abrasives on Material Removal in Lap Grinding of Sapphire Substrate", Tribol. Lubr., Vol.34, No.6, pp.209-216, 2018, https://doi.org/10.9725/kts.2018.34.6.209
  22. Kwak, H., Kim, W.-B., Sung, I.-H., "Effects of Abrasive Size and Impact Angle on the Contact Stress in Abrasive Machining Process", Tribol. Lubr., Vol.27, No.1, pp.34-39, 2011, http://doi.org/10.9725/kstle.2011.27.1.034
  23. Khanna, A. J., Gupta, S., Kumar, P., Chang, F.-C., Singh, R. K., "Study of Agglomeration Behavior of Chemical Mechanical Polishing Slurry under Controlled Shear Environments", ECS J. Solid State Sci. Technol., Vol.7, No.5, pp.238-242, 2018. https://doi.org/10.1149/2.0091805jss
  24. Lin, G., Guo, D., Xie, G., Jia, Q., Pan, G., "In Situ Observation of Colloidal Particle Behavior Between Two Planar Surfaces", Colloids Surf. A, Vol.482, pp.656-661, 2015. https://doi.org/10.1016/j.colsurfa.2015.05.059
  25. Khanna, A. J., Gupta, S., Kumar, P., Chang, F.-C., Singh, R. K., "Quantification of Shear Induced Agglomeration in Chemical Mechanical Polishing Slurries Under Different Chemical Environments", Microelectron. Eng., 2019, https://doi.org/10.1016/j.mee.2019.03.012.
  26. Kwark, H., Yang, W.-Y., Sung, I.-H., "Slurry Particle Behavior Inside Pad Pore During Chemical Mechanical Polishing", Tribol. Lubr., Vol.28, No.1, pp.7-11, 2012. https://doi.org/10.9725/kstle.2012.28.1.007
  27. Yang, W. Y., Sung, I.-H., "Study on Within-Wafer Non-uniformity Using Finite Element Method", Tribol. Lubr., Vol.28, No.6, pp.272-277, 2012, https://doi.org/10.9725/kstle.2012.28.6.272
  28. Lee, H., Kasuga, H., Ohmori,, H., Lee, H., Jeong, H., "Application of Electrolytic In-Process Dressing (ELID) Grinding and Chemical Mechanical Polishing (CMP) Process for Emerging Hard-Brittle Materials Used in Light-Emitting Diodes", J. Cryst. Growth, Vol.36, No.1, pp.140-146, 2011.
  29. Zhu, H., Tessaroto, L. A., Sabia, R., Greenhut, V. A., Smith, M., Niesz, D. E., "Chemical Mechanical Polishing (CMP) Anisotropy in Sapphire", Appl. Surf. Sci., Vol.236, No.1-4, pp.120-130, 2004. https://doi.org/10.1016/j.apsusc.2004.04.027
  30. Niu, X., Liu, Y., Tan, B., Han, L., Zhang, J., "Method of Surface Treatment on Sapphire Substrate", Trans. Nonferrous Met. Soc. China, Vol.16, pp.s732-s734, 2006. https://doi.org/10.1016/S1003-6326(06)60290-2
  31. An, J. H., Lee, G. S., Lee, W. J., Shin, B. C., Seo, J. D., Ku, K. R., Seo, H. D., Jeong, H. D., "Effect of Process Parameters on Material Removal Rate in Chemical Mechanical Polishing of 6H-SiC(0001)", Mater. Sci. Forum, Vol.600-603, pp.831-834, 2009.
  32. Kaotaka, K., Sasaoka, C., Kimura, A., Usui, A., Mochizuki, Y., "Precise Control of PN-Junction Profiles for GaN-Based LD Structures Using GaN Substrates with Low Dislocation Densities", J. Cryst. Growth, Vols.189-190, pp.551-555, 1998. https://doi.org/10.1016/S0022-0248(98)00191-2
  33. Lee, H.S., Jeong, H.D., "Chemical and Mechanical Balance in Polishing of Electronic Materials for Defect-Free Surfaces", CIRP Ann. Manuf. Technol., Vol.58, No.1, pp.485-490, 2009. https://doi.org/10.1016/j.cirp.2009.03.115
  34. Jo, W., Lee, S., Kim, H., Lee, T., Lee, S., "A Study of Material Removal Characteristics by Friction Monitoring System of Sapphire Wafer in Single Side DMP", Tribol. Lubr., Vol.32, No.2, pp.56-60, 2016, https://doi.org/10.9725/kstle.2016.32.2.56
  35. Lee, T., Lee, S., Jo, W., Jeong, H., Kim, H., "Study on the Lapping Characteristics of Sapphire Wafer by using a Fixed Abrasive Plate", Tribol. Lubr., Vol.32, No.2, pp.44-49, 2016, https://doi.org/10.9725/kstle.2016.32.2.44
  36. Seo, J., Kim, T., Lee, H., "Effect of Free Abrasives on Material Removal in Lap Grinding of Sapphire Substrate", Tribol. Lubr., Vol.34, No.6, pp.209-216, 2018, https://doi.org/10.9725/kts.2018.34.6.209
  37. Kim, D., Kim, J., Lee, H., "Characteristics of Heat Transfer in DLG Platen According to Flow Rate of Coolant", Tribol. Lubr., Vol.32, No.2, pp.50-55, 2016. https://doi.org/10.9725/kstle.2016.32.2.50
  38. Lee, S., Lee, S., Kim, H., Park, C., Sohn, K., "Effect of Crystal Orientation on Material Removal Characteristics in Sapphire Chemical Mechanical Polishing", Tribol. Lubr., Vol.33, No.3, pp.106-111, 2017, https://doi.org/10.9725/kstle.2017.33.33.106
  39. Park, C., Jeong, H., Lee, S., Kim, D., Kim, H., "A Study on Pressure Distribution for Uniform Polishing of Sapphire Substrate", Tribol. Lubr., Vol.32, No.2, pp.61-66, 2016. https://doi.org/10.9725/KSTLE.2016.32.2.61
  40. Lee, H., Lee, H., Choi, S., Lee, Y., Jeong, M., Jeong, H., "Macroscopic and Microscopic Investigation on Chemical Mechanical Polishing of Sapphire Wafer", J. Nanosci. Technol., Vol.12, No.2, pp.1256-1259, 2012.
  41. Lee, H.S., Kim, D.I., Lee, H.J., Kim, K.H., Jeong, H., "Hybrid Polishing Mechanism of Single Crystal SiC Using Mixed Abrasive Slurry (MAS)", CIRP Ann. Manuf. Technol., Vol.59, No.1, pp.333-336, 2010. https://doi.org/10.1016/j.cirp.2010.03.114
  42. Su, J., Zhang, Z., Liu, X., Liu, Z., Feng, Q., "Design of CMP SiC Crystal Substrate (0001) Si Surface Based on Alumina ($Al_2O_3$) Abrasive", Adv. Mater. Res., Vol.703, pp.90-93, 2013. https://doi.org/10.4028/www.scientific.net/AMR.703.90
  43. Su, J., Du, J., Ma, L., Zhang, Z., Kang, R., "Material Removal Rate of 6H-SiC Crystal Substrate CMP Using an Alumina ($Al_2O_3$) Abrasive", J. Semicond., Vol.33, No.10, pp.106003, 2013. https://doi.org/10.1088/1674-4926/33/10/106003
  44. Chen, G., Ni, Z., Bai, Y., Li, Q., Zhao, Y., "The Role of Interactions Between Abrasive Particles and the Substrate Surface in Chemical-Mechanical Planarization of Si-Face 6H-SiC", RSC Adv., Vol.7, No.28, pp.16938-16952, 2013. https://doi.org/10.1039/C6RA27508G
  45. Kurokawa, S., Doi, T., Ohnishi, O., Yamazaki, T., Tan, Z., Yin, T., "Characteristics in SiC-CMP using $MnO_2$ Slurry with Strong Oxidant under Different Atmospheric Conditions", Mater. Res. Soc. Symp. Proc., 2013, https://doi:10.1557/opl.2013.903
  46. Doi, T. K., Sano, Y., Kurowaka, S., Aida, H., Ohnishi, O., Uneda, M., Ohyama, K., "Novel Chemical Mechanical Polishing/Plasma-Chemical Vaporization Machining (CMP/P-CVM) Combined Processing of Hard-to-Process Crystals Based on Innovative Concepts", Sensor and Mater., Vol.26, No.6, pp.403-415, 2014.
  47. Hayashi, S., Koga, T., Goorsky, M.S., "Chemical Mechanical Polishing of GaN", J. Electrochem. Soc., Vol.55, No.2, pp.H113-H116, 2008. https://doi.org/10.1149/1.2818776
  48. Weyher, J.L., Muller, S., Grzegory, I., Porowski, S., "Chemical Polishing of Bulk and Epitaxial GaN", J. Cryst. Growth, Vol.182, Nos.1-2, pp.17-22, 1997. https://doi.org/10.1016/S0022-0248(97)00320-5
  49. Kim, K.J., Jeong, J.S., Jang, H.J., Shin, H.M., Jeong, H.D., "Influence of the Diamond Abrasive Size during Mechanical Polishing Process on the Surface Morphology of Gallium Nitride Substrate", J. Korean Soc. Precis. Eng., Vol.25, No.9, pp.32-37, 2008.
  50. Aida, H., Doi, T., Takeda, H., Katakura, H., Kim, S.-W., Koyama, K., Yamazaki, T., Uneda, M., "Ultraprecision CMP for Sapphire, GaN, and SiC for Advanced Optoelectronics Materials", Curr. Appl. Phys., Vol.12, pp.S41-S46, 2012. https://doi.org/10.1016/j.cap.2012.02.016
  51. Li, W., Ma, M., Hu, B., "A Study on Surface Quality of GaN with CMP Polishing Process", Adv. Mater. Res., Vol.291-294, pp.1764-1767, 2011. https://doi.org/10.4028/www.scientific.net/AMR.291-294.1764
  52. Murata, J., Kubota, A., Yagi, K., Sano, Y., Hara, H., Arima, K., Okamoto, T., Mimura, H., Yamauchi, K., "Chemical Planarization of GaN Using Hydroxyl Radicals Generated on a Catalyst Plate in $H_2O_2$ Solution", J. Cryst. Growth, Vol.310, Nos.7-9, pp.1637-1641, 2008. https://doi.org/10.1016/j.jcrysgro.2007.11.093

Cited by

  1. 랩그라인딩 후 사파이어 웨이퍼의 표면거칠기가 화학기계적 연마에 미치는 영향 vol.35, pp.6, 2019, https://doi.org/10.9725/kts.2019.35.6.323