DOI QR코드

DOI QR Code

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

  • Lin, Paul-Chang (State Key Laboratory of ASIC and System, School of Microelectronic, Fudan University) ;
  • Xu, Jin-Hai (Semiconductor Manufacturing International Corporation) ;
  • Lu, Hong-Liang (State Key Laboratory of ASIC and System, School of Microelectronic, Fudan University) ;
  • Zhang, David Wei (State Key Laboratory of ASIC and System, School of Microelectronic, Fudan University) ;
  • Li, Pei (Semiconductor Manufacturing International Corporation)
  • Received : 2016.03.07
  • Accepted : 2016.11.05
  • Published : 2017.06.30

Abstract

Through silicon via (TSV) technology is extensively used in 3D IC integrations. The special structure of the TSV is realized by CMP (Chemically Mechanical Polishing) process with a high Cu removal rate and, low dishing, yielding fine topography without defects. In this study, we investigated the electrochemical behavior of copper slurries with various inhibitors in the Cu CMP process for advanced TSV applications. One of the slurries was carried out for the most promising process with a high removal rate (${\sim}18000{\AA}/Min$ @ 3 psi) and low dishing (${\sim}800{\AA}$), providing good microstructure. The effects of pH value and $H_2O_2$ concentration on the slurry corrosion potential and Cu static etching rate (SER) were also examined. The slurry formula with a pH of 6 and 2% $H_2O_2$, hadthe lowest SER (${\sim}75{\AA}/Min$) and was the best for TSV CMP. A novel Cu TSV CMP process was developed with two CMPs and an additional annealing step after some of the bulk Cu had been removed, effectively improving the condition of the TSV Cu surface and preventing the formation of crack defects by variations in wafer stress during TSV process integration.

Keywords

References

  1. Waldemar Nawrocki, "Physical limits for scaling of integrated circuits". International Conference on Theoretical Physics Dubna-Nano 2010, Conference Series 248, 2010.
  2. T.C. Tsai, etc. "CMP process development for the via-middle 3D TSV applications at 28 nm technology node", Microelectronic Engineering, Vol:92, P29-33, 2012. https://doi.org/10.1016/j.mee.2011.03.004
  3. Jui-chin Chen, etc "Effects of Slurry in Cu Chemical Mechanical Polishing (CMP) of TSVs for 3-D IC Integration", IEEE transactions on components, packaging and manufacturing technology, VOL.2, NO.6,P956-963, June. 2012. https://doi.org/10.1109/TCPMT.2011.2177663
  4. J. Van Olmen, etc, "Integration challenges of copper Through Silicon Via (TSV) metallization for 3D-stacked IC integration", Microelectronic Engineering, Vol:88, P745-748, 2011. https://doi.org/10.1016/j.mee.2010.06.026
  5. Jinhai Xu, Paul-Chang Lin, Pei Li, etc. "CMP slurry and process development for TSV front-side polishing", ECS Trans., volume 44, issue 1, P537-542, 2012.
  6. Abhinav Tripathi, etc. "Cu Electrochemical mechanical planarization surface quality", J. Electrochemical Society, Vol:156, H555-H560, 2009. https://doi.org/10.1149/1.3125703
  7. A.K. Satpati, etc, "Electrochemical study on corrosion inhibition of copper in hydrochloric acid medium and the rotation ring-disc voltammetry for studying the dissolution", International Journal of Electrochemistry, Volu2011.
  8. EL-Sayed M. Sherif, "Electrochemical and gravimetric study on the corrosion and corrosion inhibition of pure copper in sodium chloride solution by two azole derivatives", International Journal of Electrochemical Science, Vol:7, P1482- 1495, 2013.
  9. M.Kulkarni, etc. "Effect of electrochemistry on surface roughness during chemical-mechanical polishing of copper", Tribology Letters, Vol 25, No.1, P33-41, January, 2007. https://doi.org/10.1007/s11249-006-9134-4