DOI QR코드

DOI QR Code

Compression-Friendly Low Power Test Application Based on Scan Slices Reusing

  • Wang, Weizheng (Hunan Provincial Key Laboratory of Intelligent Processing of Big Data on Transportation, Changsha University of Science and Technology) ;
  • Wang, JinCheng (Hunan Provincial Key Laboratory of Intelligent Processing of Big Data on Transportation, Changsha University of Science and Technology) ;
  • Cai, Shuo (College of computer and communication Engineering, Changsha University of Science and Technology) ;
  • Su, Wei (Modern Education Technology Center, Nanjing Audit University) ;
  • Xiang, Lingyun (College of computer and communication Engineering, Changsha University of Science and Technology)
  • 투고 : 2015.09.28
  • 심사 : 2016.03.14
  • 발행 : 2016.08.30

초록

This paper presents a compression-friendly low power test scheme in EDT environment. The proposed approach exploits scan slices reusing to reduce the switching activity during shifting for test scheme based on linear decompressor. To avoid the impact on encoding efficiency from resulting control data, a counter is utilized to generate control signals. Experimental results obtained for some larger ISCAS'89 and ITC'99 benchmark circuits illustrate that the proposed test application scheme can improve significantly the encoding efficiency of linear decompressor.

키워드

참고문헌

  1. Milewski S, Mrugalski G, Rajski J, et al. "Low Power Test Compression with Programmable Broadcast-Based Control," Proc. of IEEE Asian Test Symposium, 2014:174-179.
  2. S. Almukhaizim and O. Sinanoglu, "Peak power reduction through dynamic partitioning of scan chains," Proc. of IEEE ITC, paper 9.2, 2008.
  3. S. M. Saeed and O. Sinanoglu. "Design for Testability Support for Launch and Capture Power Reduction in Launch-Off-Shift and Launch-Off-Capture Testing," IEEE Transactions on Very Large Scale Integration Systems, 2014, 22(3): 516-521. https://doi.org/10.1109/TVLSI.2013.2248764
  4. Q. Xu, D. Hu, and D. Xiang, "Pattern-directed circuit virtual partitioning for test power reduction," Proc. of IEEE ITC, paper 25.2, 2007.
  5. V. P. Dabholkar, S. Chakravarty, I. Pomeranz, and S.M. Reddy, "Techniques for minimizing power dissipation in scan and combinational circuits during test application," IEEE Trans. CAD, vol. 17, pp. 1325-1333, Dec. 1998. https://doi.org/10.1109/43.736572
  6. X. Lin, J. Rajski, "Test Power Reduction by Blocking Scan Cell Outputs," IEEE Proc. ATS, pp.329-336, 2008.
  7. Li J, Han Y, Li X. "Deterministic And Low Power BIST Based On Scan Slice Overlapping," IEEE International Symposium on Circuits and Systems, Vol. 6, pp. 5670-5673, 2005.
  8. M. Elm, H. J. Wunderlich, M. E. Imhof, et al. "Scan chain clustering for test power reduction," Proc of the Design Automation Conference, pp. 828-833, 2008.
  9. Abdallatif S. Abu-Issa, Steven F. Quigley. "Bit- Swapping LFSR and Scan-Chain Ordering: A Novel Technique for Peak- and Average-Power Reduction in Scan-Based BIST," IEEE Trans on Computer-Aided Design of Integrated Circuits and Systems, 2009, 28 (5):755-759. https://doi.org/10.1109/TCAD.2009.2015736
  10. U. Ingelsson, S. Goel, E. Larsson, E. Marinissen. "Abort-on-Fail Test Scheduling for Modular SOCs without and with Preemption," IEEE Transactions on Computers, in press, 2015, DOI: 10.1109/TC.2015.2409840.
  11. Zhou B, Xiao L.Y., Ye Y. Z., et al. "Optimization of test power and data volume in BIST scheme based on scan slice overlapping," Journal of Electronic Testing: Theory and Applications, vol. 27, no. 1, pp. 43-56, 2011. https://doi.org/10.1007/s10836-010-5185-4
  12. A. Dutta, S. Kundu and S. Chattopadhyay, "Thermal Aware Don't Care Filling to Reduce Peak Temperature and Thermal Variance during Testing", Proc. of Asian Test Symposium, pp. 25-30, 2013.
  13. Satya A. Trinadh, Sobhan Bahu Ch., Shiv Govind Singh, et al. "DP-fill: A Dynamic Programming approach to X-filling for minimizing peak test power in scan tests," Proc. of Design, Automation & Test in Europe Conference & Exhibition, pp. 836-841, 2015.
  14. U. S. Mehta, K. S. Dasgupta, N. M. Devashrayee, "Modified Selective Huffman Coding for Optimization of Test Data Compression, Test Application Time and Area Overhead," Journal of Electronic Testing, vol.26, no. 6, pp. 679-688, 2010. https://doi.org/10.1007/s10836-010-5183-6
  15. Wang S, Wei W. "Cost Efficient Methods to Improve Performance of Broadcast Scan," IEEE Proc. ATS, 2008: 163-169.
  16. D. Xiang, D. Hu, Q. Xu, and A. Orailoglu, "Lowpower scan testing for test data compression using a routing-driven scan architecture," IEEE Trans. on Computer-Aided Design, vol. 28, no. 7, pp. 1101-1105, July 2009. https://doi.org/10.1109/TCAD.2009.2018775
  17. D. Xiang, J. Li, K. Chakrabarty, and X. Lin, "Test Compaction for Small Delay Defects Using an Effective Path Selection Scheme," ACM Trans. on Design Automation of Electronic Systems, vol. 18, no. 3, July 2013.
  18. J. Rajski, J. Tyszer, M. Kassab, N. Mukherjee, "Embedded deterministic test," IEEE Trans. Comput. Aided Des. Integrated Circuits Syst., vol. 23, no. 5, pp. 776-792, 2004 https://doi.org/10.1109/TCAD.2004.826558
  19. M.-F. Wu, J.-L. Huang, X. Wen, and K. Miyase, "Reducing power supply noise in linear decompressor based test data compression environment for at-speed scan testing," Proc. ITC, paper 13.1, 2008.
  20. X. Liu and Q. Xu, "On simultaneous shift- and capture-power reduction in linear decompressorbased test compression environment," Proc. ITC, paper 9.3, 2009.
  21. Czysz D, Mrugalski G, Mukherjee N, et al. "Deterministic Clustering of Incompatible Test Cubes for Higher Power-Aware EDT Compression," IEEE Trans on Computer-Aided Design of Integrated Circuits and Systems, 2011, 30(8): 1225-1238. https://doi.org/10.1109/TCAD.2011.2126574
  22. Czysz D, Rajski J, Tyszer J. "Low power test application with selective compaction in VLSI designs," Proc. ITC, Paper PTF.2, 2012.
  23. A. Kumar, M. Kassab, E. Moghaddam, et al. "Isometric Test Data Compression," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 11, pp. 1847-1859, 2015. https://doi.org/10.1109/TCAD.2015.2432133
  24. W. Z. Wang, J. S. Kuang, Z. Q. You. "Achieving low capture and shift power in linear decompressor-based test compression environment", Microelectronics Journal, Vol. 43, No. 1, pp: 143-140, 2012.