DOI QR코드

DOI QR Code

Design and Implementation of a new aging sensing circuit based on Flip-Flops

플립플롭 기반의 새로운 노화 센싱 회로의 설계 및 구현

  • 이진경 (대구대학교 전자공학과) ;
  • 김경기 (대구대학교 전자전기공학부)
  • Received : 2014.04.30
  • Accepted : 2014.07.30
  • Published : 2014.08.30

Abstract

In this paper, a new on-chip aging sensing circuit based on flip-flops is proposed to detect a circuit failure of MOSFET digital circuits casued by aging phenomenon such as HCI and BTI. The proposed circuit uses timing windows to warn against a guardband violation of sequential circuits, and generates three warning bits right before circuit failures occur. The generated bits can apply to an adaptive self-tuning method for reliable system design as control signals. The aging sensor circuit has been implemented using 0.11um CMOS technology and evaluated by $4{\times}4$ multiplier with power gating structure.

본 논문에서는 나노미티 기술에서 HCI와 BTI와 같은 노화 현상에 의해 야기되는 MOSFET 디지털 회로의 실패를 정확히 예측을 위한 플립플롭 기반의 온-칩 노화 센싱 회로를 제안한다. 제안된 센싱 회로는 순차회로의 가드밴드 (guardband) 위반에 대한 경고를 나타내는 타이밍 윈도우를 이용해서 노화에 의한 회로의 동작 실패 전에 경고 비트를 발생한다. 발생된 비트는 고신뢰의 시스템 설계를 위한 적응형 셀프-튜닝 방법에서 제어 신호로 사용될 것이다. 노화 센싱 회로는 0.11um CMOS 기술을 사용해서 구현되었고, 파워-게이팅 구조를 가지는 $4{\times}4$ 곱셈기에 의해서 평가되었다.

Keywords

References

  1. Kyung Ki Kim, "Design of a New Adaptive Circuit to Compensate for Aging Effects of Nanometer Digital Circuits," Journal of the Korea Industrial Information System Society , V.18, No.6, pp. 25-30, 2013. https://doi.org/10.9723/jksiis.2013.18.6.025
  2. Yeon-Bo Kim, Kyung Ki Kim, "The Impact of TDDB Failure on Nanoscale CMOS Digital Circuits," Journal of the Korea Industrial Information System Society, V.17, No.3, pp. 27-34, 2012. https://doi.org/10.9723/jksiis.2012.17.3.027
  3. Kyung Ki Kim, "Analysis of Electromigr ation in Nanoscale CMOS Circuits," Journal of the Korea Industrial Information System Society, V.18, No.1, pp. 19-24, 2013.
  4. M. Omana, D. Rossi, N. Bosio, And C. Metra, "Self-Checking Monitor For NBTI Due Degradation", In IEEE 16th International Mixed-Signals, Sensors and Systems Test Workshop, June 2010.
  5. B. Paul, K. Kang, H. Kufluoglu, M. Ashraful Alam, & K. Roy, "Temporal Performance Degradation Under NBTI: Estimation And Design For Improved Reliability Of Nanoscale Circuits", Vol. 1, pp. 1-6, March 2006.
  6. S. Mitra & M. Agarwal, "Circuit Failure Prediction To Overcome Scaled CMOS Reliability Challenges", IEEE International Test Conference, October 2007.
  7. Intel, "3-D, 22nm: New Technology Delivers An Unprecedented Combination of Performance and Power Efficiency", http://www.intel.com/content/www/us/en/silicon-i nnovations/intel-22nm-technology.html, 2012.
  8. S. N. Wooters, A. C. Cabe, Z. Qi, J. Wang, R. W. Mann, B. H. Calhoun, M. R. Stan, & T. N. Blalock, "Tracking On-Chip Age Using Distributed, Embedded Sensors", In IEEE Transactions On Very Large Scale Integration (VLSI) Systems, Vol. No. 99, pp. 1-12, 2011.
  9. Z. Qi, J. Wang, A. Cabe, S. Wooters, T. Blalock, B. Calhoun, & M. Stan, "Sram-Based NBTI/PBTI Sensor System Design", In 47th ACM/IEEE Design Automation Conference, June 2010.
  10. Agarwal M, Paul B, Zhang M, Mitra, S. Circuit failure prediction and its application to transistor aging. In: 25th IEEE VLSI test symposium; 2007. p. 277-86.
  11. J. Vazquez, V. Champac, A. Ziesemer, R. Reis, I. Teixeira, M. Santos, And J. Teixeira, "Low- Sensitivity To Process Variations Aging Sensor For Automotive Safety-Critical Applications", In 28th VLSI Test Symposium (VTS), April 2010.