DOI QR코드

DOI QR Code

파장 라우팅 광학 네트워크-온-칩에서의 최소 개수 파장 할당 기법

A Minimum Wavelength Assignment Technique for Wavelength-routed Optical Network-on-Chip

  • 김영석 (성균관대학교 정보통신대학) ;
  • 이재훈 (성균관대학교 정보통신대학) ;
  • 최적 (성균관대학교 정보통신대학) ;
  • 한태희 (성균관대학교 정보통신대학)
  • Kim, Youngseok (College of Information & Communication Engineering, Sungkyunkwan University) ;
  • Lee, Jae Hun (College of Information & Communication Engineering, Sungkyunkwan University) ;
  • Cui, Di (College of Information & Communication Engineering, Sungkyunkwan University) ;
  • Han, Tae Hee (College of Information & Communication Engineering, Sungkyunkwan University)
  • 투고 : 2013.07.31
  • 발행 : 2013.10.25

초록

실리콘 포토닉스 기반의 광학 네트워크-온-칩(Optical NoC, ONoC)은 차세대 엑사스케일 컴퓨팅(Exascale computing)을 위한 유망 아키텍처 기술 중 하나이다. 최근 들어 활발해지고 있는 ONoC의 연구들은 파장 분할 다중화(Wavelength Division Multiplexing, WDM)를 이용하여 대역폭을 더욱 향상시키고 광신호의 경로 충돌을 방지하는데 초점을 두고 있다. 하지만 기존 ONoC 연구에서는 중앙 집중형 라우터 구조 위주로 Processing Element(PE)의 수가 증가함에 따라 WDM을 위해 사용되는 파장 수가 선형적으로 증가한다. 이러한 파장 수의 증가는 다중 파장을 위한 광원 및 광학 스위치 등 광학 장치를 구성하기 위한 비용을 증가시키고 광신호의 상호 간섭에 의한 감쇄 효과 등으로 ONoC의 확장성을 제한한다. 본 논문에서는 WDM 기반 2D-mesh 구조의 ONoC를 위한 분산형 광학 라우팅 아키텍처를 제안하고 커뮤니케이션의 연결정도에 따라 필요한 파장 수를 최소화하는 방법을 제시하였다. 기존 중앙 집중형 라우팅 아키텍처와 비교하여 $8{\times}8$ 네트워크에서 평균 56% 파장 수와, 21%의 광학 스위치 수를 감소시켰다.

An Optical Network-on-Chip(ONoC) based on silicon photonics is one of promising technology for next generation exascale computing architectures. Recent active researches on ONoC focus on improving bandwidth further and avoiding path collisions by using wavelength division multiplexing (WDM). However, the number of wavelengths used for the WDM increases linearly as the number of Processing Element (PE) increases in existing ONoCs which adopt centralized routing architecture. The problem will also arises growing cost of optical devices such as light switches and light sources and limits the scalability of ONoC due to the sinal loss caused by interference of distinct light sources. In this paper, we proposes a distributed routing architecture for ONoC which is based on 2D-mesh structure using WDM technique and present a method that minimize the required number of wavelengths exploiting the connectivity of communication. In comparison with existing centralized routing architectures, results show reduction by 56% of the number of wavelengths and 21% of the number of optical switches in $8{\times}8$ networks.

키워드

참고문헌

  1. A. Shacham, K. Bergman, and L. P. Carloni, "On the Design of a Photonic Network-on-Chip," in 1th IEEE/ACM Int. Symp. on Networks on chip(NOCS) , pp. 53-64, Washington, DC, USA, May 2007.
  2. A. shacham, K. Bergman, and L. P. Carloni, "Photonic Networks-on-chip for future generations of chip multiprocessors," IEEE transactions on Computers, Vol. 57, Issue. 9, pp.1246-1260, Sep 2008. https://doi.org/10.1109/TC.2008.78
  3. H. Gu, K. H. Mo, J. Ku, and W. Zhang, "A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip," in VLSI, IEEE Computer Society Annal Symposium on, pp. 19-24, Tampa, FL, May 2009.
  4. M. Briere, L. Carrel, T. Michalke, F. Mieyeville, I. O. Connor and F. Gaffiot, "Design and behavioral modeling tools for optical networkon- chip," in Design, Automation and Test in Europe(DATE), vol.1, pp. 738-739, Ecully, France, Feb 2004.
  5. I. O'Connor, F. Mieyeville, F. Gaffiot, A. Scandurra, and G. Nicolescu, "Reduction Methods for Adapting Optical Network on Chip Topologies to Specific Routing Applications," In Proceedings of the Design of Circuits and Integrated Systems, DCIS, Grenoble, France, November 2008.
  6. X. Tan, M. Yang, L. Zhang, Y. Jiang and J, Yang, " A generic optical router design for photonic network-on-chips," IEEE Journal of Lightwave Technology, Vol. 30, Issue. 3, pp. 368-376, Feb 2011.
  7. L. Bai, H. Gu, Y. Yang, and X. Yu, "A WDM multi-waveguide low blocking architecture for ONoC", Optics & Laser Technology, Volume 47, pp. 355-360, April 2013. https://doi.org/10.1016/j.optlastec.2012.08.024
  8. J. Chan and K. Bergman, "Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications," IEEE/OSA Journal of Optical communications and networking, Vol. 4, Issue. 3, pp. 189-201, March 2012. https://doi.org/10.1364/JOCN.4.000189
  9. S. Koohi, M. Abdollahi and S. Hessabi, "All-Optical wavelength-routed NoC based on a novel hierarchical topology," in 5th IEEE/ACM Int. Symp. on Networks on chip(NOCS), pp. 97-104, Pittsburgh, PA, USA, May 2011.
  10. H. Gu, J. Xu, and W. Zhang, "A Low-power Fat Tree-based Optical Network- on-Chip for Multiprocessor System-on-Chip," Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 3-8, Nice April 2009
  11. B. G. Lee, X. Chen, A. Biberman, X. Liu, I. Hsieh, C. Chou, J. Dadap, R. M. Osgood, and K. Bergman, "Ultrahigh-Bandwidth WDM Signal Integrity in Silicon-on-Insulator Nanowire Waveguides," Lasers and Electro-Optics Society, pp. 472-473, Lake Buena Vista, FL, Oct 2007.
  12. P. J. Winzer, M. Pfennigbauer, R. Essiambre, "Coherent crosstalk in ultradense WDM systems," Journal of lightwave technology Vol, 23, No. 4 , April 2005.
  13. A. W. Poon, F. Xu and X. Luo, "Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip," in Proc. SPIE, Silicon Photonics Conference, San Jose, CA, USA, Feb 2008.
  14. J. Hu, and R. Marculescu, "Energy-Aware Mapping for Tile-based NoC Architectures Under Performance Constraints," Design Automation Conference, Proceedings of the ASP-DAC 2003, pp.233-239, Jan 2003.
  15. C. Roig, A. Ripoll, and F. Guirado, "A New Task Graph Model for Mapping Message Passing Applications," IEEE transactions on Parallel and Distributed Systems, Vol. 18, Issue. 12, pp.1740-1753, Dec 2007. https://doi.org/10.1109/TPDS.2007.1117
  16. J. Hu, and R. Marculescu, "Energy- and Performance-Aware Mapping for Regular NoC Architectures," IEEE transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.24, Issue. 4, pp.551-562, April 2005. https://doi.org/10.1109/TCAD.2005.844106