DOI QR코드

DOI QR Code

Estimating the Reliability of Virtual Metrology Predictions in Semiconductor Manufacturing : A Novelty Detection-based Approach

이상치 탐지 방법론을 활용한 반도체 가상 계측 결과의 신뢰도 추정

  • Kang, Pil-Sung (IT Management Programme, International Fusion School, Seoul National University of Science and Technology(Seoultech)) ;
  • Kim, Dong-Il (Industrial Engineering, Seoul National University) ;
  • Lee, Seung-Kyung (Industrial Engineering, Seoul National University) ;
  • Doh, Seung-Yong (Samsung SDS) ;
  • Cho, Sung-Zoon (Industrial Engineering, Seoul National University)
  • 강필성 (서울과학기술대학교 국제융합학부 IT Management) ;
  • 김동일 (서울대학교 산업공학과) ;
  • 이승경 (서울대학교 산업공학과) ;
  • 도승용 (삼성 SDS) ;
  • 조성준 (서울대학교 산업공학과)
  • Received : 2011.09.21
  • Accepted : 2012.02.14
  • Published : 2012.03.01

Abstract

The purpose of virtual metrology (VM) in semiconductor manufacturing is to predict every wafer's metrological values based on its process equipment data without an actual metrology. In this paper, we propose novelty detection-based reliability estimation models for VM in order to support flexible utilization of VM results. Because the proposed model can not only estimate the reliability of VM, but also identify suspicious process variables lowering the reliability, quality control actions can be taken selectively based on the reliance level and its causes. Based on the preliminary experimental results with actual semiconductor manufacturing process data, our models can successfully give a high reliance level to the wafers with small prediction errors and a low reliance level to the wafers with large prediction errors. In addition, our proposed model can give more detailed information by identifying the critical process variables and their relative impacts on the low reliability.

Keywords

References

  1. Akaike, H. (1974), A New Look at the Statistical Model Identification, IEEE Transactions on Automatic Control, 19(6), 716-723. https://doi.org/10.1109/TAC.1974.1100705
  2. Barnett, V. and Lewis, T. (1994), Outliers in Statistical Data, Wiley and Sons, USA.
  3. Bergeret, F. and Gall, C. L. (2003), Yield Improvement Using Statistical Analysis of Process Data, IEEE Transactions on Semiconductor Manufacturing, 16(3), 535-542. https://doi.org/10.1109/TSM.2003.815204
  4. Besnard, J. and Toprac, A. (2006), Wafer-to-Wafer Virtual Metrology Applied to Run-to-Run Control, Proc. of the Third ISMI Symposium on Manufacturing Effectiveness.
  5. Chang, Y.-J., Kang, Y., Hsu, C.-L., Chang, C.-T., and Chan, T. Y. (2006), Virtual Metrology Technique for Semiconductor Manufacturing, Proc. Int. Joint Conf. on Neural Network(IJCNN 2006), 5289-5293.
  6. Chen, P., Wu, S., Lin, J., Ko, F., Lo, H., and Wang, J. (2005), Virtual Metrology : A Solution for Wafer to Wafer Advanced Process Control, Proc. IEEE Int. Symp. on Semiconductor Manufacturing (ISSM 2005), 155-157.
  7. Chen, Y.-T., Yang, H.-C., and Cheng, F.-T. (2006), Multivariate Simulation Assessment for Virtual Metrology, Proc. IEEE Int. Conf. on Robotics and Automation(ICRA 2006), 1048-1053.
  8. Kang, P. and Cho, S. (2008), A Hybrid Novelty Score and Its Use in Keystroke Dynamics-based User Authentication, Pattern Recognition, 42(11), 3115-3127.
  9. Kang, P., Kim, D., Lee, H.-J., Doh, S., and Cho, S. (2011), Virtual Metrology for Run-to-Run Control in Semiconductor Manufacturing, Expert Systems with Applications, 38(3), 2508-2522. https://doi.org/10.1016/j.eswa.2010.08.040
  10. Khan, A. A., Moyne, J. R., and Tilbury, D. M. (2007), An Approach for Factory-wide Control Utilizing Virtual Metrology, IEEE Transactions on Semiconductor Manufacturing, 20(4), 364-375. https://doi.org/10.1109/TSM.2007.907609
  11. Lee, H.-J. (2007), Novelty Detection for Class Imbalance : LVQ-based Algorithm and Its Application to Security and CRM, Ph.D Thesis, Seoul National University, Republic of Korea.
  12. Lin, T.-H., Hung, M.-T., Lin, R.-C., and Cheng, F.-T. (2006), A Virtual Metrology Scheme for Predicting CVD Thickness in Semiconductor Manufacturing, Proc. IEEE Int. Conf. on Robotics and Automation (ICRA 2006), 1054-1059.
  13. Lynn, S., Ringwood, J., and MacGearailt, N. (2010), Weighted Windowed PLS Models for Virtual Metrology of an Industrial Plasma Etch Process, Proc. IEEE Int. Conf. on Industrial Technology(ICIT 2010), 309-314.
  14. Pan, T.-H., sheng, B.-Q., Wong, D. S.-H., and Jang, S.-S. (2011), A Virtual Metrology System for Predicting End-of-Line Electrical Properties using a MANCOVA Model with Tools Clustering, IEEE Transactions on Industrial Informatics, 7(2), 187-195. https://doi.org/10.1109/TII.2010.2098416
  15. Qin, S. J., Cherry, G., Good, R., Wang, J., and Harrison, C. A. (2006), Semiconductor Manufacturing Process Control and Monitoring : A Fab-wide Framework, Journal of Process Control, 16(3), 179-191. https://doi.org/10.1016/j.jprocont.2005.06.002
  16. Sachs, E., Hu, A., and Ingolfsson, A. (1995), Run by Run Process Control : Combining SPC and Feedback Control, IEEE Transactions on Semiconductor Manufacturing, 8(1), 26-43. https://doi.org/10.1109/66.350755
  17. Schwarz, G. E. (1978), Estimating the Dimension of a Model, Annals of Statistics, 6(2), 461-464. https://doi.org/10.1214/aos/1176344136
  18. Spanos, C. J., Guo, H.-F., Miller, A., and Levine-Parril, J. (1992), Real-Time Statistical Process Control Using Tool Data, IEEE Transactions on Semiconductor Manufacturing, 5(4), 308-318. https://doi.org/10.1109/66.175363
  19. Su, A.-J., Jeng, J.-C., Huang, H.-P., Yu, C.-C., Hung, S.-Y., and Chao, C.-K. (2007), Control Relevant Issues in Semiconductor Manufacturing : Overview with Some New Results, Control Engineering Practice, 15(10), 1268-1279. https://doi.org/10.1016/j.conengprac.2006.11.003
  20. Tax, D. M. J. (2001), One-class Classification, Ph.D. Thesis, Delft University of Technology, Netherlands.
  21. Yang, J. and Honavar, V. (1998), Feature Subset Selection Using a Genetic Algorithm, IEEE Intelligent Systems, 13(2), 44-49. https://doi.org/10.1109/5254.671091

Cited by

  1. A Novelty Detection Algorithm for Multiple Normal Classes : Application to TFT-LCD Processes vol.39, pp.2, 2013, https://doi.org/10.7232/JKIIE.2013.39.2.082
  2. A Prediction of Chip Quality using OPTICS (Ordering Points to Identify the Clustering Structure)-based Feature Extraction at the Cell Level vol.40, pp.3, 2014, https://doi.org/10.7232/JKIIE.2014.40.3.257