DOI QR코드

DOI QR Code

A Scaling Trend of Variation-Tolerant SRAM Circuit Design in Deeper Nanometer Era

  • 발행 : 2009.03.31

초록

Evaluation results about area scaling capabilities of various SRAM margin-assist techniques for random $V_T$ variability issues are described. Various efforts to address these issues by not only the cell topology changes from 6T to 8T and 10T but also incorporating multiple voltage-supply for the cell terminal biasing and timing sequence controls of read and write are comprehensively compared in light of an impact on the required area overhead for each design solution given by ever increasing $V_T$ variation (${\sigma}_{VT}$). Two different scenarios which hinge upon the EOT (Effective Oxide Thickness) scaling trend of being pessimistic and optimistic, are assumed to compare the area scaling trends among various SRAM solutions for 32 nm process node and beyond. As a result, it has been shown that 6T SRAM will be allowed long reign even in 15 nm node if ${\sigma}_{VT}$ can be suppressed to < 70 mV thanks to EOT scaling for LSTP (Low Standby Power) process.

키워드

참고문헌

  1. F. Hamzaoglu, K. Zhang, Y. Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, Y. G. Ng, A. Pavlov, K. Smits, and M. Bohr, "A 153Mb SRAM Design with Dynamic Stability Enhancement and Leakage Reduction in 45 nm Hi-K Metal Gate CMOS Technology," in Digest of Technical Papers IEEE Solid States Circuits Conference 2008, pp.376-377, Feb. 2008
  2. H. Pilo, V. Ramadurai, G. Braceras, J. Gabric, S. Lamphier, and Y. Tan "A 450ps Access-Time SRAM Macro in 45 nm SOI Featuring a Two-Stage Sensing- Scheme and Dynamic Power Management," in Digest of Technical Papers, IEEE Solid States Circuits Conference 2008, pp.378-379, Feb. 2008
  3. J. Pille, C. Adams, T. Christensen, S. Cottier, S. Ehrenreich, T. Kono, D. Nelson, O. Takahashi, S. Tokito, O. Torreiter, O. Wagner, and D. Wendel, "Implementation of the CELL Broadband Engine in a 65 nm SOI Technology Featuring Dual-Supply SRAM Arrays Supporting 6 GHz at 1.3 V," in Digest of Technical Papers, IEEE Solid States Circuits Conference 2007, pp.322-323, Feb. 2007
  4. M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. lshikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, H. Shinohara, "A 45 nm Low- Standby-Power Embedded SRAM with Improved Immunity Against Process and Temperature Variations," in Digest of Technical Papers, IEEE Solid States Circuits Conference 2007, pp.326-327, Feb. 2007 https://doi.org/10.1109/ISSCC.2007.373426
  5. Y. Wang, H. Ahn, U. Bhattacharya, T. Coan, F. Hamzaoglu, W. Hafez, C. -H. Jan, R. Kolar, S. Kulkarni, J. Lin, Y. Ng, I. Post, L. Wel, Y. Zhang, K. Zhang, M. Bohr, "A 1.1 GHz 12 $\mu^A$/Mb-Leakage SRAM Design in 65 nm Ultra-Low-Power CMOS with Integrated Leakage Reduction for Mobile Applications,"Í in Digest of Technical Papers, IEEE Solid States Circuits Conference 2007, pp.324-325, Feb. 2007
  6. L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, L. Sekaric, S. J. McNab, A. W. Topol, C. D. Adams, K. W. Guarini, and W. Haensch, "Stable SRAM Cell Design for the 32 nm Node and Beyond," in Digest of Technical Papers, 2005 Symposium on VLSI Technology, pp.128-129, June 2005
  7. L. Chang, R. K. Montoye, Y. Nakamura, K. A. Batson, R. J. Eickemeyer, R. H. Dennard, W. Haensch, and D. Jamsek, "An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches," IEEE Journal of Solid-State Circuits, vol. 43, no. 4, pp.956-963, April 2008 https://doi.org/10.1109/JSSC.2007.917509
  8. Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, "An Area- Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment," in Digest of Technical Papers, 2007 Symposium on VLSI Circuits, pp. 256- 257, June 2007
  9. L. Chang; Y. Nakamura, R. K. Montoye, J. Sawada, A. K. Martin, K. Kinoshita, F. H. Gebara, K. B. Agarwal, D. J. Acharyya, W. Haensch, K. Hosokawa, and D. Jamsek, "A 5.3 GHz 8T-SRAM with Operation Down to 0.41 V in 65 nm CMOS," in Digest of Technical Papers, 2007 Symposium on VLSI Circuits, pp.252-253, June 2007
  10. V. Naveen and A. P. Chandrakasan, "A 65 nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy," in Digest of Technical Papers, IEEE Solid States Circuits Conference 2007, pp.328-329, Feb. 2007
  11. I-J. Chang, J-J. Kim, S. Park, K. Roy, and West Lafayette, "A 32 kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90 nm CMOS," in Digest of Technical Papers, IEEE Solid States Circuits Conference ISSCC 2008, pp. 388-622, Feb. 2008
  12. K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, "A 3 GHz 70 Mb SRAM in 65 nm CMOS Technology with Integrated Column-Based Dynamic Power Supply," IEEE Journal of Solid-State Circuits, vol.31, no.1, pp.474-611, Jan. 2006
  13. S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, M. Igarashi, M. Takeuchi, H.Kawashima, H. Makino, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, K. Ishibashi, and H. Shinohara, "A 65 nm Soc Embedded 6T-SRAM Design for Manufacturing with Read and Write Cell Stabilizing Circuits," in Digest of Technical Papers, 2006 Symposium on VLSI Circuits, pp. 17-18, June 2006
  14. H. Yamauchi, T. Iwata, H. Akamatsu, T. Fujita "A 0.8 V/100 MHz/sub-5 mW-operated mega-bit SRAM cell architecture with charge-recycle offset-source driving (OSD) scheme," in Digest of Technical Papers, 1996 Symposium on VLSI Circuits 1996, pp.126-127, June 1996
  15. D. P. Wang, H. J. Liao, H. Yamauchi, W. Hwang, Y. L. Lin, Y. H. Chen, and H. C. Chang, "A 45 nm Dual- Port SRAM with Write and Read Capability Enhancement at Low Voltage," in Digest of Technical Papers, IEEE SOCC 2007, pp. 211-214, Oct, 2007
  16. H. Yamauchi, "Embedded SRAM circuit design technologies for a 45 nm and beyond," in Digest of Technical Papers, ASICON 2007, pp. 1028-1033, Oct. 2007
  17. H. Yamauchi, "Embedded SRAM trend in nanoscale CMOS," in Digest of Technical Papers, IEEE MTDT 2007, pp. 19-22, Dec. 2007
  18. V. Ramadurai, R. Joshi, and R. Kanj, "A Disturb Decoupled Column Select 8T SRAM Cell," in Digest of Technical Papers, 2007 Custom Integrated Circuits Conference, CICC 2007, pp.25-28. Sept. 2007
  19. H. Pilo, J. Barwin, G. Braceras, C. Browning, S. Burns, J. Gabric, S. Lamphier, M. Miller, A. Roberts, and F. Towler, "An SRAM Design in 65 nm and 45 nm Technology Nodes Featuring Read and Write- Assist Circuits to Expand Operating Voltage," in Digest of Technical Papers, 2006 Symposium on VLSI Circuits, pp.15-16, June 2006
  20. Y. H. Chen, W. M. Chan, S. Y. Chou, H. J. Liao, H. Y. Pan, J. J. Wu, C. H. Lee, S. M. Yang, Y. C. Liu, and H. Yamauchi, "A 0.6 V 45 nm Adaptive Dualrail SRAM Compiler Circuit Design for Lower VDD_min VLSIs," in Digest of Technical Papers, 2008 Symposium on VLSI Circuits, pp.210-211, June 2008
  21. M. Pelgrom, A. Duinmaijer, and A. Welbers, "Matching properties of MOS transistors," IEEE Journal of Solid-State Circuits, vol.24, Issue 5, pp.1433-1439, Oct. 1989 https://doi.org/10.1109/JSSC.1989.572629
  22. K. Itoh, M. Horiguchi, and M. Yamaoka "Low-Voltage Limitations of Memory-Rich Nano-Scale CMOS LSIs,” in Digest of Technical Papers, 33rd European"Solid State Circuits Conference, ESSCIRC 2007, pp.11-13, Sept. 2007
  23. Y. Chung and S-W. Shim, "An Experimental 0.8 V 256-kbit SRAM Macro with Boosted Cell Array Scheme," ETRI Journal, vol. 29, no. 4, August 2007
  24. M. Suzuki, "Lanthanum Aluminate Gate Dielectric Technology with Direct Interface," Toshiba review, vol. 62, no. 2, pp.37-41, 2007
  25. E. P. Gusev, V. Narayanan, and M. M. Frank, "Advanced high-j dielectric stacks with polySi and metal gates: Recent progress and current challenges," IBM Journal Res. & Dev,. vol. 50 no. 4/5, pp.387-410, July/ September, 2006 https://doi.org/10.1147/rd.504.0387
  26. S. J. Lee, "Characteristics of TaN gate MOSFET with ultrathin hafnium oxide," in Digest of Technical Papers, IEDM, 2000, p.39-42, 2000
  27. K. J. Kuhn, "Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS," in Digest of Technical Papers, IEDM, 2007, pp.471-474, 2007
  28. K. Takeuchi, T. Fukai, T. Tsunomura, A. T. Putra , A. Nishida, S. Kamohara, and T. Hiramoto, "Understanding Random Threshold Voltage Fluctuation by Comparing Multiple Fabs and Technologies," in Digest of Technical Papers, IEDM. 2007, pp. 467- 470, 2007
  29. A. Asenov, A. Cathignol, B. Cheng, K. P. McKenna, A. R. Brown, A. L. Shluger, D. Chanemougame, K. Rochereau, and G. Ghibaudo, "Origin of the Asymmetry in the Magnitude of the Statistical Variability of n- and p-Channel Poly-Si Gate Bulk MOSFETs," IEEE Electron Device Letters, vol. 29, no. 8, pp. 913-915, August 2008 https://doi.org/10.1109/LED.2008.2000843
  30. International Technology Roadmap for Semiconductors 2007, http://www.itrs.net/Links/2007ITRS/2007_ Chapters/2007_PIDS.pdf
  31. M. Saibal, M-M. Hamid, and R. Kaushik, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS," IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 24, no. 12, pp.1859-1880, 2005 https://doi.org/10.1109/TCAD.2005.852295
  32. M. Yamaoka, N. Maeda, Y. Shimazaki, and K. Osada, "65 nm Low-Power High-Density SRAM Operableat 1.0 V under 3σ Systematic Variation Using Separate Vth Monitoring and Body Bias for NMOS and PMOS,"in Digest of Technical Papers IEEE Solid States Circuits Conference 2008, pp.384-385, Feb. 2008
  33. T. Tsunomura, A. Nishida, F. Yano, A. T. Putra, K. Takeuchi, S. Inaba, S. Kamohara, K. Terada, T. Hiramoto, and T. Mogami, "Analyses of 5σ Vth Fluctuation in 65 nm MOSFETs using Takeuchi plot," in Digest of Technical Papers, 2008 Symposium on VLSI Technology, pp.156-157, June, 2008
  34. G. Tsutui, K. Tsunoda, N. Kariya, Y. Akiyama, T. Abe, S. Maruyama, T. Fukase, M. Suzuki, Y. Yamagata, and K. Imai, "Reduction of Vth variation by work fuction optimization for 45-nm node SRAM cell," in Digest of Technical Papers, 2008 Symposium on VLSI Technology, pp.158-159, June 2008
  35. S. Ekbote, K. Benaissa, B. Obradovic, S. Liu, H. Shichijo, F. Hou, T. Blythe, T. W. Houston, S. Martin, R. Taylor, A. Singh, H. Yang, and G. Baldwin, "45 nm low-power CMOS SoC technology with aggressive reduction of random variation for SRAM and analog transistor," in Digest of Technical Papers, 2008 Symposium on VLSI Technology, pp.158-159, June 2008
  36. X. Chen, S. Samavedam, V. Narayanan, K. Stein, C. Hobbs, C. Baiocco, W. Li, D. Jaeger, M. Zaleski, H. S. Yang, N. Kim, Y. Lee, D. Zhang, L. Kang, J. Chen, H. Zhuang, A. Sheikh, J. Wallner, M. Aquilino, J. Han, Z. Jin, J. Li, G. Massey, S. Kalpat, R. Jha, N. Moumen, R. Mo, S. Kirshnan, X. Wang, M. Chudzik, M. Chowdhury, D. Nair, C. Reddy, Y. W. Teh, C. Kothandaraman, D. Coolbaugh, S. Pandey, D. Tekleab, A. Thean, M. Sherony, C. Lage, J. Sudijono, R. Lindsay, J. H. Ku, M. Khare, and A. Steegen, “"A Cost Effective 32 nm High-K/ Metal Gate CMOS Technology for Low Power Applications with Single-Metal/Gate- First Process,”" in Digest of Technical Papers, 2008 Symposium on VLSI Technology, pp.88-89, June 2008楣慬ࠀ⽵灦楬支ᤀ䔱偓䈲弲〰㥟瘵㕮㍟㤷ㄮㄴ㤭ㄵ㉃䅌ᤁ̀숊休Eㅐ卂㉟㈰〹彶㔵渳ᔀ䔱偓䈲弲〰㥟瘵㕮㍟㤷㡕T桥牭慬⁓瑡扩汩瑹映婮匠乡湯睩牥猠却畤楥搠批⁕獩湧⁉渭獩瑵⁈敡瑩湧⁘⵲慹⁄楦晲慣瑩潮Ԁ㬻㬻㬇9㜸ⴹ㠱Ā㈂2いK業Ⱐ卥畬ⵃ桡活䭩洬⁊椭坯漻䍨畮本⁈敥ⵓ畫㭋業Ⱐ䑯ⵈ祵渻佨Ⱐ䭹甭䡷慮㬈p桹獩捡氈/異晩汥⼙Eㅐ卂㉟㈰〹彶㔵渳弹㜸⹰摦Ԁ䱏䍁䰀༁̀숊匑Eㅐ卂㉟㈰〹彶㔵渳ᔀ䔱偓䈲弲〰㥟瘵㕮㍟㤸㉘E汥捴物捡氠䍨慲慣瑥物獴楣猠潦⁡⁈楧栭癯汴慧攠倭捨慮湥氠䱄䵏卆䕔⁕瑩汩穩湧⁓佉⁔散桮潬潧礄;㬻㬇9㠲ⴹ㠵Ā㈁6㤀偡牫Ⱐ䡯ㄹ㤴ⴰ〭〰Ⱐ䩩渭䝵渻䭩洬⁓慮札䝩㭋慮本⁊楮ⵙ潵湧㬈p桹獩ᤐ돀⢻֗⨀塨?⨀룙֗⨀돐?֗⨀?잖⨀֗⨀줏덐֗⨀젏ꠏŞȌ蠀᫚?멧멦55ͧLee NY ㄉ患ࡕ멦Σ䙎ĊऀúLee NY, Kim JS, Choi YW, 礙돀֗⨀塨?⨀邵⨀儙돐잖⨀잖⨀킵⨀⤙댐䁽ጄȀ
  37. T. S. Doorn, E. J. W. Maten, J. A. Croon, A. D. Bucchianico, and O. Wittich, "Importance sampling Monte Carlo simulations for accurate estimation of SRAM yield," in Digest of Technical Papers, IEEE 34th European Solid-State Circuits Conference ESSCIRC 2008, pp. 230-233, Sep. 2008
  38. R. Kanj, R. Joshi, and S. Nassif, "Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events," in Digest of Technical Papers, Design Automation Conference 2006, pp. 69-72, July 2006

피인용 문헌

  1. Compact Modeling of Variation in FinFET SRAM Cells vol.27, pp.2, 2010, https://doi.org/10.1109/MDT.2010.39
  2. Design of Subthreshold SRAMs for Energy-Efficient Quality-Scalable Video Applications vol.1, pp.2, 2011, https://doi.org/10.1109/JETCAS.2011.2158345
  3. A dual-port eight-transistor static memory cell with improved noise immunity vol.59, pp.11, 2014, https://doi.org/10.1134/S1064226914060163
  4. Ratioless full-complementary 12-transistor static random access memory for ultra low supply voltage operation vol.54, pp.4S, 2015, https://doi.org/10.7567/JJAP.54.04DD11
  5. Minimization of Gate-Induced Drain Leakage by Controlling Gate Underlap Length for Low-Standby-Power Operation of 20-nm-Level Four-Terminal Silicon-on-Insulator Fin-Shaped Field Effect Transistor vol.49, pp.2, 2010, https://doi.org/10.1143/JJAP.49.024203