최근 프로세서 발열 관리 연구 동향

  • 공준호 (고려대학교 정보통신대학 컴퓨터전파통신공학과) ;
  • 정성우 (고려대학교 컴퓨터통신공학부, BK소프트웨어 사업단)
  • Published : 2009.11.20

Abstract

Keywords

References

  1. K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan, 2003. Temperature-Aware Microarchitecture. In Proceedings of International Symposium on Computer Architecture(ISCA '03), 2003 https://doi.org/10.1145/871656.859620
  2. J. Kong, J. John, E. -Yo Chung, Sung Woo Chung, and J. Hu, 'On the Thermal Attack in Instruction Caches', accepted to IEEE Transactions on Dependable and Secure Computing
  3. F. Pollack. New Microarchitecture Challenges in the Coming Generations of CMOS Process Technologies. International Symposium on Microarchitecture (MICRO-32) keynote speech, 1999 https://doi.org/10.1109/MICRO.1999.10004
  4. K. -J. Lee, K. Skadron, "Using Performance Counters for Runtime Temperature Sensing in HighPerformance Processors," In Proceedings of 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05), 2005 https://doi.org/10.1109/IPDPS.2005.448
  5. C. Isci, M. Martonosi, "Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data," In Proceedings of 36th Annual IEEE/ACM International Symposium on Microarchitecture(MICRO'03), 2003
  6. J. S. Lee, K. Skadron, S. W. Chung, 'Predictive Temperature-Aware DVFS,' IEEE TransacUons on Computers (On-line Publication), Sept. 2009 https://doi.org/10.1109/TC.2009.136
  7. Sung Woo Chung and Skadron, K. 'Using On-Chip Event Counters For High-Resolution, Real-Time Temperature Measurement,' In Proceedings of Thermal and Thermomecham'cal Phenomena in Electronics Systems, (ITHERM '06), 2006 https://doi.org/10.1109/ITHERM.2006.1645330
  8. C. H. Lim, W. Robert Daasch, and G. Cai, "A Thermal-Aware Superscalar Microprocessor," In Proceedings of International Symposium on Quality Electronic Design (ISQED '02), pp. 517-522, 2002 https://doi.org/10.1109/ISQED.2002.996797
  9. H. B. Jang, E. -Yo Chung, and Sung Woo Chung, 'Adopting the Banked Register File Scheme for Better Performance and Less Leakage', ETRI Journal, vol. 30, no. 4, pp. 624-626, August 2008 https://doi.org/10.4218/etrij.08.0208.0052
  10. H. B. Jang, I. Yoon, C. H. Kim, S. Shin, and Sung Woo Chung, 'The Impact of Liquid Cooling on 3D Multi-Core Processors', In proceedings of IEEE International Conference on Computer Design (ICCD 2009), October 2009 https://doi.org/10.1109/ICCD.2009.5413115
  11. S. Heo, K. Barr, and K. Asanovic. 'Reducing power density through activity migration,' In Proceedings of the 2003 international symposium on Low power electrom'cs and design (ISLPED '03), pp. 217-222, 2003 https://doi.org/10.1145/871506.871561
  12. K. Sankaranarayanan, S. Velusamy, M. R. Stan, and K. Skadron, "A Case for Thermal-Aware Floorplanning at the Microarchitectural Level," The Journal of Instruction-Level Parallelism, vol. 7, Oct. 2005
  13. Y. Han and I. Koren, "Simulated Annealing Based Temperature Aware Floorplanning," The Journal of Low Power Electronics, vol. 3, No.2, 1-15, 2007 https://doi.org/10.1166/jolpe.2007.115
  14. V. Nookala, D. J. Lilja, and S. S. Sapatnekar, 'Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient Analysis,' In Proceedings of the 2006 international symposium on Low power electronics and design (ISLPED '06), pp. 298-303, 2006 https://doi.org/10.1109/LPE.2006.4271853
  15. C-. T. Chu, X. Zhang, L. He, and T. T. Jing, 'Temperature Aware Microprocessor Floorplanning Considering Application Dependent Power Load,' In Proceedings of IEEE/ACM International Conference on Computer Aided Design (ICCAD 2007), pp. 586-589, 2007 https://doi.org/10.1109/ICCAD.2007.4397328
  16. M. B. Healy, M. Vittes, M. Ekpanyapong, C. S. Ballapuram, S. K. Lim, H-. H. S. Lee, G. H. Loh, 'Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs,' IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, Vol. 26, No.1, pp. 38-52, 2007 https://doi.org/10.1109/TCAD.2006.883925
  17. M. Mutyam, F. Li, N. Vijaykrishnan, M. T. Kandemir, and M. J. Irwin. 'Compiler-directed thermal management for VLIW functional units', In Proceedings of ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems(LCTES 2006), pp. 163-172, 2006 https://doi.org/10.1145/1134650.1134674
  18. B. C. Schafer and T. Kim, "Thermal-Aware Instruction Assignment for VLIW Processors," In Proceedings of 11th TMlrkshop on InteractJ'on between Compilers and Computer Architectures (INTERACT-ll), pp. 1-7, 2007
  19. D. Brooks, M. Martonosi, 'Dynamic Thermal Management for High-Performance Microprocessors,' In Proceedings of International Symposium on HighPerformance Computer Architecture (HR7A '01), 2001 https://doi.org/10.1109/HPCA.2001.903261
  20. M. Huang, J. Renau, S-. M. Yoo, and J. Torrellas, 'A framework for Dynamic Energy Efficiency and Temperature Management,' In Proceedings of International Symposium on Microarchitecture (MICRO 2000), 2000 https://doi.org/10.1109/MICRO.2000.898071
  21. K. Skadron, 'Hybrid Architectural Dynamic Thermal Management,' In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition(DATE '04), Vol. 1, 2004 https://doi.org/10.1109/DATE.2004.1268820
  22. K. Skadron, T. Abdelzaher, and M. R. Stan, 'Control-Theoretic Techniques and Thermal-RC modeling for Accurate and Localized Dynamic Thermal Management,' In Proceedings of International Symposium on High-Performance Computer Architecture (HPCA '02), 2002
  23. J. K. John, J. S. Hu, and S. G. Ziavras, 'Optimizing the Thermal Behavior of Subarrayed Data Caches,' In Proceedings of International Conference on Computer Design (ICCD 2005), 2005 https://doi.org/10.1109/ICCD.2005.81
  24. J. C. Ku, S. Ozdemir, G. Memik, and Y. Ismail, 'Thermal Management of On-chip Caches Through Power Density Minimization,'In Proceedings of International Symposium on Microarci2itecture (MICRO 2005), 2005 https://doi.org/10.1109/MICRO.2005.36
  25. M, D, Powell, M, Gomaa, and T, N. Vijaykumar, 'Heat-and-Run: Leveraging SMT and CMP to Manage Power Density Through the Operating System', In Proceedings of the Internati'onal Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'04), pp. 260-270, 2004 https://doi.org/10.1145/1037949.1024424
  26. A. Kumar, L. Shang, L-. S. Peh, and N. K. Jha, 'HybDIM: A Coordinated Hardware-Software Approach for Dynamic Thermal Management,' In Proceedings of the 43rd annual Design Automation Conference 2006 (DAC '06), pp. 548-553, 2006 https://doi.org/10.1109/DAC.2006.229219
  27. A. Kumar, L. Shang, L-. S, Peh, and N. K. Jha, 'System-Level Dynamic Thermal Management for High-Performance Microprocessors,' IEEE transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 27, No.1, pp. 96-108, 2008 https://doi.org/10.1109/TCAD.2007.907062
  28. J. Choi, C. Cher, H. Franke, H, Haman, A. Weger, and p. Bose, 'Thermal-aware Task Scheduling at the System Software Level,' In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED' 07), 2007 https://doi.org/10.1145/1283780.1283826
  29. J. Yang, X. Zhou, M. Chrobak, Y. Zhang, and L. Jin, 'Dynamic Thermal Management through Task Scheduling,' In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and software (ISPASS '08), pp, 191-201, 2008 https://doi.org/10.1109/ISPASS.2008.4510751
  30. A. Merkel and F. Bellosa, 'Task activity vectors: A new metric for temperature-aware scheduling,' In proceedings of Third ACM SIGOPS EuroSys Conference, 2008 https://doi.org/10.1145/1357010.1352594