DOI QR코드

DOI QR Code

데이터 캐시의 선택적 프리차지를 통한 에너지 절감

Low-power Data Cache using Selective Way Precharge

  • 최병창 (가톨릭대학교 컴퓨터공학과) ;
  • 서효중 (가톨릭대학교 컴퓨터정보공학부)
  • 발행 : 2009.02.28

초록

최근 디지털 시스템 응용의 복잡성이 증가하면서, 고성능화와 전력 소모 절감은 중요한 문제로 대두되고 있다. 전력 소모를 줄이기 위한 방법으로, 프로세서의 저 전력화 또한 미세 공정의 개발과 함께 다양하게 접근되고 있으며, 이러한 결과 모바일 시스템의 시장 확대가 이루어지고 있다. 본 논문은 이러한 프로세서에서 소모되는 전력 중 데이터 캐시의 전력 손실을 줄이기 위하여 데이터 캐시의 웨이 중 필요로 하는 부분을 예측하여 선택적으로 프리자치 하도록 하는 전력 소모 개선 방법을 제안하였으며, 접근하는 웨이에 대한 예측이 성공했을 경우에는 에너지를 절감하면서 지연이 발생하지 않는 빠른 접근이 이루어지게 하고, 실패하였을 경우에도 최소한의 시간 지연으로 해당되는 웨이의 접근이 이루어지게 하였다. 시뮬레이션을 통한 검증 결과 웨이 예측 기법만을 사용한 경우에 대비하여 평균 10.2% 의 전력을 절감할 수 있었으며, 일반적 캐시에 비하여는 평균 56.4%의 전력을 절감할 수 있었다.

Recently, power saving with high performance is one of the hot issues in the mobile systems. Various technologies are introduced to achieve low-power processors, which include sub-micron semiconductor fabrication, voltage scaling, speed scaling and etc. In this paper, we introduce a new method that reduces of energy loss at the data cache. Our methods take the benefits in terms of speed and energy loss using selective way precharging of way prediction with concurrent way selecting. By the simulation results, our method achieves 10.2% energy saving compared to the way prediction method, and 56.4% energy saving compared to the common data cache structure.

키워드

참고문헌

  1. Kin, J., M. Gupta, M.-Smith, W.H., “The filter cache: an energy efficient memory structure”, Proc. Microarchitecture(MICRO-97), pp.184-193, 1997 https://doi.org/10.1109/MICRO.1997.645809
  2. C. Zhang, F. Vahid, W. Najjar, “A highly configurable cache architecture for embedded systems”, Int'l Symp. Computer Architecture, pp.136-146, Jun., 2003 https://doi.org/10.1145/871656.859635
  3. D. A. Patterson, J. L. Hennessy, Computer Architecture: A Quantitative Approach, 3ed, Morgan Kaufmann
  4. M. Powell, A. Agarwal, T. N. Vijaykumar, B. Falsafi, K. Roy, “Reducing set-associative cache energy via way-prediction and selective direct-mapping”, Int'l Symp. Microarchitecture, pp.54-65, Dec., 2001 https://doi.org/10.1109/MICRO.2001.991105
  5. E. Witchel, S. Larsen, C. S. Ananian, K. Asanovie, “Direct addressed caches for reduced power consumption”, Proc. 34th ACM/IEEE Int'l Symp. Microarchitecture, pp.124-135, Dec., 2001 https://doi.org/10.1109/MICRO.2001.991111
  6. Ching-Long Su, A. M. Despain, “Cache designs for energy efficiency”, Int'l Conf. System Sciences Architecture, Vol.1, pp.306-315, Jan., 1995 https://doi.org/10.1109/HICSS.1995.375383
  7. K. Flautner, N. S. Kim, S. Martin, D. Blaauw, T. Mudge., “Drowsy caches: simple techniques for reducing leakage power”, Int'l Symp. Computer Architecture, pp.148-157, Jul., 2002 https://doi.org/10.1109/ISCA.2002.1003572
  8. 심성훈, 김철홍, 장성태, 전주식, “고성능 내장형 프로세서의 에너지 소비 감소를 위한 데이타 캐시 통합 설계 방법”, 정보과학회논문지, 33권, 3호, pp.166-177, 2006년 4월
  9. G. Magklis, G. Semeraro, D.H. Albonesi, S.G. Dropsho, S. Dwarkadas and M.L. Scott, “Dynamic Frequency and Voltage Scaling for a Multiple-Clock-Domain Microprocessor”, IEEE Micro, Vol.23, No.6, pp.62–68, 2003 https://doi.org/10.1109/MM.2003.1261388
  10. N. AbouGhazaleh, B. Childers, D. Mosse, R. Melhem, “Integrated CPU Cache Power Management in Multiple Clock Domain Processors”, Lecture Notes in Computer Science, Vol.4917/2008, pp.209-223, 2008 https://doi.org/10.1007/978-3-540-77560-7_15
  11. T. Austin , E. Larson , D. Ernst, “SimpleScalar: an infrastructure for computer system modeling”, IEEE Computer, pp.59-67, Feb., 2002 https://doi.org/10.1109/2.982917
  12. D. Tarjan, S. Thoziyoor, N. P. Jouppi, CACTI 4.0, HP Laboratories, Technical Report HPL-2006-86, Jun., 2006
  13. SPEC CPU2000 Benchmarks, http://www.specbench.org
  14. D. Kudithipudi, E. John, “Parametrical characterization of leakage power in embedded system caches using gated-VSS”, Proc. IASTED Int'l Conf. Circuits, Signals, and Systems, pp.308-312, 2005