Clock Routing Synthesis for Nanometer IC Design

  • Jin, Xianzhe (Department of Information and Communication Engineering, Hanbat National University) ;
  • Ryoo, Kwang-Ki (Department of Information and Communication Engineering, Hanbat National University)
  • Published : 2008.12.31

Abstract

Clock skew modeling is important in the performance evaluation and prediction of clock distribution network and it is one of the major constraints for high-speed operation of synchronous integrated circuits. In clock routing synthesis, it is necessary to reduce the clock skew under the specified skew bound, while minimizing the cost such as total wire length and delay. In this paper, a new efficient bounded clock skew routing method is described, which generalizes the well-known bounded skew tree method by allowing loops, i.e., link-edges can be inserted to a clock tree when they are beneficial to reduce the clock skew and/or the wire length. Furthermore, routing topology construction and wire sizing is used to reduce clock delay.

Keywords

References

  1. Xiaohong Jiang and Susumu Horiguchiu, "Statistical Skew Modeling for General Clock Distribution Networks in Presence of Process Variations," IEEE Trans. On VLSI Systems, Vol. 9, No. 5, pp. 704-717, Oct 2001 https://doi.org/10.1109/92.953503
  2. Eby G. Friedman, "Clock Distribution Networks in Synchronous Digital Integrated Circuits," Proceedings of the IEEE, Vol. 89, pp. 665-692, May 2001 https://doi.org/10.1109/5.929649
  3. Vojin G. Oklobdzija, "Multi-GHz Systems Clocking," 5th International Conference on Application Specific Integrated Circuits, Vol. 2. pp. 701-706, Oct 2003
  4. Hiroki Matsutani, Michihiro Koibuchi, and Hideharu Amano, "Performance, Cost, and Energy Evaluation of Fat H-tree: A Cost-Efficient Tree-Based On-Chip Network," IEEE International Parallel and Distributed Processing Symposium, pp. 1-10, Mar 2007
  5. Michael A. B. Jackson, Arvind Srinivasan, and E. S. Kuh, "Clock Routing for High-Performance ICs," Proc. ACM/IEEE Design Automation Conference, pp.573-579, 1990
  6. Andrew B. Kahng, Jason Cong, and Gabriel Robins, "High-Performance Clock Routing Based on Recursive Geometric Matching," Proc. ACM/IEEE Design Automation Conference, pp.322-327, 1991
  7. Ren-Song Tsay, "Exact Zero Skew," Proc. IEEE Int'l Conf. on Computer-Aided Design, pp.336-339, 1991
  8. Kenneth D. Boese and Andrew B. Kahng, "Zero-Skew Clock Routing Trees with Minimum Wire Length," Proc. IEEE 5th Int'l ASIC Conf., pp.1.1.1-1.1.5, 1992
  9. Masato Edahiro, "A Clustering-Based Optimization Algorithm in Zero-Skew Routings," Proc. ACM/IEEE Design Automation Conference, pp.612-616, 1993
  10. Dennis J.-H. Huang, Andrew B. Kahng, and Chung-Wen Albert Tsao, "On the Bounded-Skew Clock and Steiner Routing Problem," Proc. ACM/IEEE Design Automation Conference, pp.508-513, 1995
  11. Jason Cong, Andrew B. Kahng, Cheng-Kok Koh, and C.-W. Albert Tsao, "Bounded-Skew Clock and Steiner Routing Under Elmore Delay," Proc. IEEE Int'l Conf. on Computer-Aided Design, pp.66-71, 1995
  12. D. W. Dobberpuhl, R. T. Witek, R. Allmon, R. Anglin, D. Bertucci, S. Britton, L. Chao, R. A. Conrad, D. E. Dever, B. Gieseke, S. M. N. Hassoun, G. W. Hoeppner, K. Kuchler, M. Ladd, B. M. Leary, L. Madden, E. J. MeLellan, D. R. Meyer, J. Montanaro, D. A. Priore, V. Rajagopalan, S. Samudrala, and S. Santhanam, "A 200-MHz 64-bit dual-issue CMOS micro-processor," IEEE Journal of Solid-State Circuits, pp.1555-1567, 1992
  13. Hidenori Sato, Hiroaki Matsuda, and Akira Onozawa, "A Balanced Mesh Clock Routing Technique for Performance Improvement," IEICE Transaction Fundamentals, Vol. E80-A, No. 8, August 1997
  14. Kaushik Ravindran, Andreas Kuehlmann, and Ellen Sentivich, "Multi-Domain Clock Skew Scheduling," International Conference on Computer Aided Design, pp. 801-808, Nov 2003
  15. M. B. I. Reaz, Nowshad Amin, M. I. Ibrahimy, F. Mohd-Yashin, and A. Mohammad, "Zero Skew Clock Routing For Fast Clock Tree Generation," Canadian Conference on Electrical and Computer Engineering, pp. 23-28, May 2008
  16. Chia-Ming Chang, Shih-Hsu Huang, Yuan-Kai Ho, Jia-Zong Lin, Hsin-Po Wang, and Yu-Sheng Lu, "Type-Matching Clock Tree for Zero Skew Clock Gating," 45th ACM/IEEE Design Automation Conference, pp. 714-719, Jun 2008