A Study on Verilog Netlist Generation Scheme from XILINX design data

XILINX 설계 데이터로부터 Verilog 네트리스트의 생성 방법에 관한 연구

  • Published : 2011.06.29

Abstract

본 논문에서는 XILINX의 합성 과정에서 생성되는 XDL 설계 데이터를 분석해서, 그로부터 verilog 네트리스트를 생성하는 소프트웨어의 개발에 관한 내용이다. 이 소프트웨어는 XILINX 용 P&R 소프트웨어, 논리 합성 소프트웨어의 개발, 또는 FPGA 상에서 특정 컴포넌트의 위치를 파악해냄으로써 FPGA 상에서 SEU 오류의 위치를 검출하는데 보조적으로 사용할 수 있다.

Keywords

Acknowledgement

Supported by : National Research Foundation of Korea(NRF)